Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Error Synthesising the resizer HLS #22

Open
javos65 opened this issue Jan 27, 2021 · 1 comment
Open

Error Synthesising the resizer HLS #22

javos65 opened this issue Jan 27, 2021 · 1 comment

Comments

@javos65
Copy link

javos65 commented Jan 27, 2021

Dear,
We try to use your example for demo PYNQ on the Ultra96.
We use vitis 20.02 package on Ubuntu20.04, synthesing the resize HLS IP runs in code errors:

ERROR: [HLS 207-3332] type 'hls::stream<DATATYPE, 2>' (aka 'stream<ap_uint<24>, 2>') does not provide a subscript operator: xf_resize_accel.cpp:42:11
ERROR: [HLS 207-3332] type 'hls::stream<DATATYPE, 2>' (aka 'stream<ap_uint<24>, 2>') does not provide a subscript operator: xf_resize_accel.cpp:62:38
ERROR: [HLS 207-2538] declaration of 'resize_accel' has a different language linkage: xf_resize_accel.cpp:68:53

Before we dig deeper into the C++ code, is this related to HLS settings -as we use vitis_hls, not the 2019 vivado_hls ?
Or could the Vitis Vision-library have changed, we copied it from the repo.

@rahman-tud
Copy link

I am facing the same problem in Vitis HLS v2020.1. Did you get any workaround?

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants