Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

What would be required to add support for SystemVerilog through Surelog+UHDM in YoWASP? #6

Open
mithro opened this issue Mar 10, 2022 · 1 comment

Comments

@mithro
Copy link

mithro commented Mar 10, 2022

It would be great for the Yosys version in YoWASP to have better SystemVerilog support through the usage of the Surelog+UHDM plugin. What would be the steps for making such a thing happen? Do plugins work with the YoWASP version of Yosys or would they need to be "linked statically" in some way?

BTW It would be awesome to support the other F4PGA (previously Symbiflow) Yosys plugins too, see a list at https://github.com/SymbiFlow/yosys-f4pga-plugins#list-of-plugins

@whitequark
Copy link
Member

Do plugins work with the YoWASP version of Yosys or would they need to be "linked statically" in some way?

They need to be "linked statically". (Dynamic linking of WebAssembly modules is coming but it's not there yet.)

If there is funding available for this work, I can take care of it.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Development

No branches or pull requests

2 participants