Skip to content
This repository has been archived by the owner on Nov 4, 2021. It is now read-only.

windows ftdi driver situation #14

Open
edbordin opened this issue May 14, 2020 · 0 comments
Open

windows ftdi driver situation #14

edbordin opened this issue May 14, 2020 · 0 comments

Comments

@edbordin
Copy link
Collaborator

Currently beginners using e.g. iceprog on Windows need to use the Zadig GUI tool to change their drivers. If we can include a tool like this to change the FTDI drivers on the command line (but perhaps without needing python) it could be handy for people writing quickstart instructions and also more convenient for everyone else:
https://github.com/CapableRobot/CapableRobot_USBRegister

There is also this new tool by xobs which is able to use the default drivers:
https://github.com/xobs/swot

Maybe we just bundle both for now?

Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant