Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Xilinx's RAMB36 inference #1748

Open
tmichalak opened this issue Mar 9, 2020 · 1 comment
Open

Xilinx's RAMB36 inference #1748

tmichalak opened this issue Mar 9, 2020 · 1 comment
Assignees

Comments

@tmichalak
Copy link

Steps to reproduce the issue

minitest_bram_36.zip

Run make bram.edif to call yosys
Run make bram_vivado.bit to call vivado

Expected behavior

Yosys should infere a RAMB36 TDP as Vivado does.

Actual behavior

Yosys fails to infer the BRAM

2.52. Printing statistics.

=== bram ===

   Number of wires:                 26
   Number of wire bits:            364
   Number of public wires:          12
   Number of public wire bits:     150  
   Number of memories:               0
   Number of memory bits:            0
   Number of processes:              0
   Number of cells:                153
     $mem                            1
     IBUF                           86
     LUT2                            2
     OBUF                           64

   Estimated number of LCs:          1
@mwkmwkmwk mwkmwkmwk self-assigned this Mar 9, 2020
@mwkmwkmwk
Copy link
Member

Known issue, yosys only supports SDP memories. Fixing this will likely require a full redesign of the memory_bram pass and won't happen any time soon (see the discussion at #1134)

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

3 participants