Skip to content
This repository has been archived by the owner on Jul 12, 2023. It is now read-only.

v1.2.0

Compare
Choose a tag to compare
@a2k-hanlon a2k-hanlon released this 10 Jan 22:33
· 5 commits to master since this release

2021-01-10

  • Added support for linting with Verible
  • Added functionality to parse verilator messages with locations in inactive files (files other than the one passed to the linter)
  • Changed linter ID in lint messages from "Verilog/SystemVerilog" to "VerilogHDL" for consistency