Skip to content

Commit

Permalink
Update README.md with installation instructions (#14)
Browse files Browse the repository at this point in the history
* Upstream my workaround of running this on my Macbook (#2)

* Update Makefile

* Update README.md

* Update README.md

* Update README.md

---------

Co-authored-by: Tiezhen WANG <38108242+xianbaoqian@users.noreply.github.com>
  • Loading branch information
adam-maj and xianbaoqian committed Apr 30, 2024
1 parent 60d9de7 commit 9a07e2b
Show file tree
Hide file tree
Showing 2 changed files with 6 additions and 2 deletions.
2 changes: 1 addition & 1 deletion Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -22,4 +22,4 @@ compile_%:
# TODO: Get gtkwave visualizaiton

show_%: %.vcd %.gtkw
gtkwave $^
gtkwave $^
6 changes: 5 additions & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -313,7 +313,11 @@ RET ; end of kernel

# Simulation

tiny-gpu is setup to simulate the execution of both of the above kernels. Before simulating, you'll need to install [iverilog](https://steveicarus.github.io/iverilog/usage/installation.html) and [cocotb](https://docs.cocotb.org/en/stable/install.html).
tiny-gpu is setup to simulate the execution of both of the above kernels. Before simulating, you'll need to install [iverilog](https://steveicarus.github.io/iverilog/usage/installation.html) and [cocotb](https://docs.cocotb.org/en/stable/install.html):

- Install Verilog compilers with `brew install icarus-verilog` and `pip3 install cocotb`
- Download the latest version of sv2v from https://github.com/zachjs/sv2v/releases, unzip it and put the binary in $PATH.
- Run `mkdir build` in the root directory of this repository.

Once you've installed the pre-requisites, you can run the kernel simulations with `make test_matadd` and `make test_matmul`.

Expand Down

0 comments on commit 9a07e2b

Please sign in to comment.