Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Upstream my workaround of running this on my Macbook #2

Merged
merged 2 commits into from
Apr 30, 2024
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
4 changes: 2 additions & 2 deletions Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ export LIBPYTHON_LOC=$(shell cocotb-config --libpython)

test_%:
make compile
iverilog -o build/sim.vvp -s gpu -g2005 build/gpu.v
iverilog -o build/sim.vvp -s gpu -g2012 build/gpu.v
MODULE=test.test_$* vvp -M $$(cocotb-config --prefix)/cocotb/libs -m libcocotbvpi_icarus build/sim.vvp

compile:
Expand All @@ -18,4 +18,4 @@ compile_%:

# TODO: Get gtkwave visualizaiton
show_%: %.vcd %.gtkw
gtkwave $^
gtkwave $^
18 changes: 18 additions & 0 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,7 @@ Built with <15 files of fully documented Verilog, complete documentation on arch
### Table of Contents

- [Overview](#overview)
- [Install](#install)
- [Architecture](#architecture)
- [GPU](#gpu)
- [Memory](#memory)
Expand Down Expand Up @@ -54,6 +55,23 @@ This project is primarily focused on exploring:

After understanding the fundamentals laid out in this project, you can checkout the [advanced functionality section](#advanced-functionality) to understand some of the most important optimizations made in production grade GPUs (that are more challenging to implement) which improve performance.

# Install
## On Mac

- Install Verilog compilers
```
brew install icarus-verilog
pip3 install cocotb
```

- Download the latest version of sv2v from https://github.com/zachjs/sv2v/releases, unzip it and put the binary in $PATH

- In the folder of this repo
```
mkdir build
make test_matadd
```

# Architecture

<p float="left">
Expand Down