Skip to content

Commit

Permalink
new makefile flow:
Browse files Browse the repository at this point in the history
support generate_from_tcl for submodule

Signed-off-by: liao,zhicheng <liaozhic@intel.com>
  • Loading branch information
Sunfe authored and FelixWongSiewAn committed Mar 28, 2024
1 parent f6e3b7d commit c0f8719
Show file tree
Hide file tree
Showing 5 changed files with 31 additions and 29 deletions.
37 changes: 19 additions & 18 deletions sm_soc_devkit_ghrd/Makefile.new
@@ -1,6 +1,4 @@
################################################
#
# SPDX-License-Identifier: MIT-0
################################################ # # SPDX-License-Identifier: MIT-0
# Copyright(c) 2019-2020 Intel Corporation.
#
################################################
Expand Down Expand Up @@ -285,21 +283,29 @@ QSYS_TCL_ARGS += --cmd="$(QSYS_TCL_CMDS)"
endif

#===============================================
# Config
# Building module control
#===============================================
MODULES := $(shell cat $(MODULES_FILE))
MODULE_BUILD := $(foreach n, $(MODULES), $(n).build)

.PHONY: $(MODULE_BUILD)
$(MODULE_BUILD):
@$(MAKE) $(USER_CONFIG) -C $(subst .build,,$@) generate_from_tcl

.PHONY: generate_submodule
generate_submodule: $(MODULE_BUILD)


#===============================================
# Config
#===============================================
MODULE_CONFIG := $(foreach n, $(MODULES), $(n).config)


.PHONY: $(MODULE_CONFIG)
$(MODULE_CONFIG) :
$(MAKE) -C $(subst .config,,$@) config

#$(MODULES):
# @$(MAKE) $(USER_CONFIG) -C $@ config
#ghrd.sub: $(MODULES)


PROJECT_ROOT := $(PWD)
GHRD_CONFIG_FILE := $(PROJECT_ROOT)/build/config.global
GHRD_HELP_FILE := $(PROJECT_ROOT)/build/help.global
Expand Down Expand Up @@ -605,8 +611,10 @@ HELP_TARGETS += generate_from_tcl
generate_from_tcl.HELP := Generate the Quartus Project source files from tcl script source

.PHONY: generate_from_tcl
generate_from_tcl: $(INTEL_CUSTOM_IP_DIR_TARGET)
$(MAKE) $(USER_CONFIG_UPDATE) quartus_generate_qsf_qpf quartus_generate_top qsys_generate_qsys
generate_from_tcl: generate_submodule $(INTEL_CUSTOM_IP_DIR_TARGET)
#$(MAKE) $(USER_CONFIG_UPDATE) quartus_generate_qsf_qpf quartus_generate_top qsys_generate_qsys
@$(ECHO) "generate_from_tcl done!"

ifeq ($(ENABLE_PARTIAL_RECONFIGURATION),1)
$(MAKE) quartus_generate_pr_qsf qsys_generate_pr_qsys
endif
Expand Down Expand Up @@ -709,13 +717,6 @@ endif # BATCH_TARGETS != <empty>



#===============================================
# Build
#===============================================
#all: ghrd.sub
#.PHONY : all $(MODULES)


#===============================================
# Help
#===============================================
Expand Down
1 change: 0 additions & 1 deletion sm_soc_devkit_ghrd/build.module
@@ -1,4 +1,3 @@
hps_subsys
jtag_subsys
peripheral_subsys
custom_ip
8 changes: 4 additions & 4 deletions sm_soc_devkit_ghrd/hps_subsys/Makefile
Expand Up @@ -32,10 +32,10 @@ GHRD_CONFIG_FILE := $(PROJECT_ROOT)/build/config.hps_subsys
GHRD_HELP_FILE := $(PROJECT_ROOT)/build/help.hps_subsys
GHRD_SCRIPT_FILE := $(PROJECT_ROOT)/scripts/config_parzer.awk

.PHONY: all
all:
$(shell echo)
qsys-script --quartus-project=./ghrd_a5ed065bb32ae5sr0.qpf --rev=ghrd_a5ed065bb32ae5sr0 --script=./construct_subsys_hps.tcl
.PHONY: generate_from_tcl
generate_from_tcl:
@qsys-script --quartus-project=$(PROJECT_ROOT)/ghrd_a5ed065bb32ae5sr0.qpf --rev=ghrd_a5ed065bb32ae5sr0 --script=./construct_subsys_hps.tcl
@echo "generate_from_tcl for hps_subsys done!"

.PHONY: config
config:
Expand Down
7 changes: 4 additions & 3 deletions sm_soc_devkit_ghrd/jtag_subsys/Makefile
Expand Up @@ -24,9 +24,10 @@ GHRD_CONFIG_FILE := $(PROJECT_ROOT)/build/config.jtag_subsys
GHRD_HELP_FILE := $(PROJECT_ROOT)/build/help.jtag_subsys
GHRD_SCRIPT_FILE := $(PROJECT_ROOT)/scripts/config_parzer.awk

.PHONY: all
all:
qsys-script --quartus-project=./ghrd_a5ed065bb32ae5sr0.qpf --rev=ghrd_a5ed065bb32ae5sr0 --script=./construct_subsys_hps.tcl
.PHONY: generate_from_tcl
generate_from_tcl:
@qsys-script --quartus-project=$(PROJECT_ROOT)/ghrd_a5ed065bb32ae5sr0.qpf --rev=ghrd_a5ed065bb32ae5sr0 --script=./construct_subsys_jtag_master.tcl
@echo "generate_from_tcl for jtag_subsys done!"

.PHONY: config
config:
Expand Down
7 changes: 4 additions & 3 deletions sm_soc_devkit_ghrd/peripheral_subsys/Makefile
Expand Up @@ -26,9 +26,10 @@ GHRD_CONFIG_FILE := $(PROJECT_ROOT)/build/config.peripheral_subsys
GHRD_HELP_FILE := $(PROJECT_ROOT)/build/help.peripheral_subsys
GHRD_SCRIPT_FILE := $(PROJECT_ROOT)/scripts/config_parzer.awk

.PHONY: all
all:
qsys-script --quartus-project=./ghrd_a5ed065bb32ae5sr0.qpf --rev=ghrd_a5ed065bb32ae5sr0 --script=./construct_subsys_hps.tcl
.PHONY: generate_from_tcl
generate_from_tcl:
@qsys-script --quartus-project=$(PROJECT_ROOT)/ghrd_a5ed065bb32ae5sr0.qpf --rev=ghrd_a5ed065bb32ae5sr0 --script=./construct_subsys_peripheral.tcl
@echo "generate_from_tcl for peripheral_subsys done!"

.PHONY: config
config:
Expand Down

0 comments on commit c0f8719

Please sign in to comment.