Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

add icesugar_pro platform file from #197 #198

Open
wants to merge 1 commit into
base: main
Choose a base branch
from

Conversation

ryankurte
Copy link

@ryankurte ryankurte commented Apr 11, 2022

hey there, this PR adds the linked icesugar_pro platform file, based on #197 with some additions, and pins markupsafe to 2.0.1 as a temporary fix to avoid pallets/markupsafe#282 (so python setup.py test installs a working version).

@ryankurte ryankurte marked this pull request as draft April 11, 2022 05:01
@ryankurte ryankurte marked this pull request as ready for review April 21, 2022 07:57
Copy link

@josuah josuah left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

I did my best to spot any form of improvement that could be done.
Only to try to keep it uniform with the other boards.
I'm not official for this project though: just my 2 cents.


# https://github.com/wuxx/icesugar-pro/tree/master/schematic
connectors = [
Connector("io", 0, {
Copy link

@josuah josuah Mar 17, 2023

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

It looks like most boards use "gpio" instead of "io" (except the OrangeCrab).

"io":
amaranth-boards/amaranth_boards/orangecrab_r0_1.py
amaranth-boards/amaranth_boards/orangecrab_r0_2.py

"gpio":
amaranth-boards/amaranth_boards/arrow_deca.py
amaranth-boards/amaranth_boards/cmod_a7.py
amaranth-boards/amaranth_boards/cmod_s7.py
amaranth-boards/amaranth_boards/de10_lite.py
amaranth-boards/amaranth_boards/de10_nano.py
amaranth-boards/amaranth_boards/de1_soc.py
amaranth-boards/amaranth_boards/mercury.py
amaranth-boards/amaranth_boards/mister.py
amaranth-boards/amaranth_boards/rz_easyfpga_a2_2.py
amaranth-boards/amaranth_boards/tinyfpga_ax1.py
amaranth-boards/amaranth_boards/tinyfpga_ax2.py
amaranth-boards/amaranth_boards/tinyfpga_bx.py
amaranth-boards/amaranth_boards/ulx3s.py


from amaranth.build import *
from amaranth.vendor.lattice_ecp5 import *
from amaranth_boards.resources import *
Copy link

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

It looks like other boards use .resources instead of amaranth_boards.resources.



if __name__ == "__main__":
from amaranth_boards.test.blinky import *
Copy link

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

It looks like other boards use .test instead of amaranth_boards.test.

resources = [
Resource("clk25", 0, Pins("P6", dir="i"),
Clock(25e6), Attrs(IO_TYPE="LVCMOS33")),

Copy link

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

The other contribution #197 mention a rst pin:

Resource("rst", 0, Pins("L14",dir="i"), Attrs(IO_TYPE = "LVCMOS33")),

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants