Skip to content

vendor._lattice: Add clock constraints to oxide .pdc template. #3001

vendor._lattice: Add clock constraints to oxide .pdc template.

vendor._lattice: Add clock constraints to oxide .pdc template. #3001

Triggered via push April 20, 2024 10:34
Status Success
Total duration 6m 10s
Artifacts 2

main.yaml

on: push
Matrix: test
check-links
42s
check-links
Matrix: smoketest
publish-docs
18s
publish-docs
publish-docs-dev
0s
publish-docs-dev
required
0s
required
publish-package
43s
publish-package
publish-release
0s
publish-release
Fit to window
Zoom out
Zoom in

Artifacts

Produced during runtime
Name Size
docs
4.39 MB
package
423 KB