Skip to content

Commit

Permalink
raise valueerror on space in variable name in vcdwriter
Browse files Browse the repository at this point in the history
  • Loading branch information
hellow554 committed Feb 13, 2021
1 parent f7c2b94 commit 245f527
Showing 1 changed file with 2 additions and 0 deletions.
2 changes: 2 additions & 0 deletions nmigen/sim/pysim.py
Expand Up @@ -94,6 +94,8 @@ def __init__(self, fragment, *, vcd_file, gtkw_file=None, traces=()):
var_init = signal.reset

for (*var_scope, var_name) in names:
if ' ' in var_name:
raise ValueError("Variable {} cannot contain a space.".format(var_name))
suffix = None
while True:
try:
Expand Down

0 comments on commit 245f527

Please sign in to comment.