Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

uv tool run failed with No such file or directory error when there is no cache directory #3775

Closed
njzjz opened this issue May 22, 2024 · 1 comment · Fixed by #3776
Closed
Assignees
Labels
bug Something isn't working

Comments

@njzjz
Copy link

njzjz commented May 22, 2024

uv 0.2.2, on Linux

When there is no cache directory (which is common in a fresh CI environment), uv tool run will fail with No such file or directory error.

Reproduction:

$ mv $HOME/.cache/uv $HOME/.cache/uv_bak
$ uv tool run  --verbose uv
warning: `uv tool run` is experimental and may change without warning.
DEBUG Syncing ephemeral environment.
DEBUG Searching for interpreter that fulfills Python @ default
error: No such file or directory (os error 2) at path "/home/jz748/.cache/uv/.tmpI48ZiF"
@charliermarsh charliermarsh self-assigned this May 22, 2024
@charliermarsh charliermarsh added the bug Something isn't working label May 22, 2024
@charliermarsh
Copy link
Member

Thanks, sorry, this was the result of a clean merge that required changes.

charliermarsh added a commit that referenced this issue May 22, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
bug Something isn't working
Projects
None yet
Development

Successfully merging a pull request may close this issue.

2 participants