Skip to content

Commit

Permalink
Switch the gitignore file to inclusion-based instead of exclusion.
Browse files Browse the repository at this point in the history
The gitignore file now ignores all files, then selectively determines
which files to allow by unignoring them.
  • Loading branch information
bperez77 committed Mar 22, 2017
1 parent fcf8601 commit 008d028
Showing 1 changed file with 25 additions and 133 deletions.
158 changes: 25 additions & 133 deletions .gitignore
@@ -1,143 +1,35 @@
# Kernel object file generated in the driver directory
driver/axidma.ko
# AXI DMA Driver and Userspace Library Gitignore

# Shared library generated in the AXI DMA library directory
libaxidma/libaxidma.so
# Exclude all files, then include in only the required ones
*

# Binaries generated in the examples directory
examples/axidma_benchmark
examples/axidma_display_image
examples/axidma_transfer
# Allow for files nested within directories. Ignore the output directory, as
# this is where the compiled files go
!*/
output/

# The outputs directory, where the generated files are copied
outputs/
# Allow for C and header files
!*.c
!*.h

# The docs directory, where generated documentation is stored
docs/

# The user Makefile configuration file
config.mk

# C Object files
*.o
*.ko
*.obj
*.elf

# Kernel module files
*.cmd
*.ko
# Ignore module C files generated by the driver compilation
*.mod.c
Module.symvers
modules.order
.tmp_versions/

# Libraries
*.lib
*.a
*.la
*.lo

# Shared objects (inc. Windows DLLs)
*.dll
*.so
*.so.*
*.dylib

# Executables
*.exe
*.out
*.app
*.i*86
*.x86_64
*.hex

# Latex files
*.out
*.log
*.aux
*.toc
*.lof
*.lot

# Editor Backup Files
*~
.*.swp
.*.swo
*.bak
*.backup

# Quartus Project Files
*sopc_*
*.jdi
*.ptf.*
*.sof
*.pof
*.smsg
*_inst.vhd
*_generation_script*
*.done
*.txt
*.qarlog
*.rpt
*.summary
*.qws
*.cmp
*.eqn
*.html
*.jpg
*.bak
*.qar
*.sopc_builder
*~
*.sdc
*.tcl
*.pin
*.mif
*.hex
*.hdb
*.cdb
*.db_info
*.xrf
*.sft
*.sdo
*.qip
*.qpf
*.qsf
*.sas
*.qns
*.sci
*.flock
*.logdb
*.dfp
*.hdb
*.ammdb
*.kpt
*.dpi
*.hb_info
*.sig

# Quartus Project Directories
db/
incremental_db/
simulation/
timing/
testbench/
*_sim/
# Allow for Makefiles, Makefile fragments, and Kbuild files
!Makefile
!*.mk
!Kbuild

# Model Sim Files
*.vho
*.sdo
*.xrf
*.sft
# Ignore the config Makefile, as this is specific to each user
/config.mk

# Python copmiled files
*.pyc
# Allow for the Travis CL file
!/.travis.yml

# C Precompiled Headers
*.gch
*.pch
# Allow for doxygen files and the README file
!*.dox
!/README.md

# Any log type file
*.log
*log.txt
# Allow for gitignore files and the LICENSE
!.gitignore
!/LICENSE

0 comments on commit 008d028

Please sign in to comment.