Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

bmi_audio driver updates #2

Conversation

hanshuebner
Copy link
Contributor

Hi guys,

this is my initial update to the bmi_audio driver, mainly for review and discussion. It fixes the problem that audio was only coming out of the left channel. I think I've pretty much drilled myself to the bottom of the problem, but I'd welcome any input on my proposed changes or any other thoughts that you may have.

Thanks!
Hans

Previously, the bmi_audio driver generated audio only on one channel.
This was a result of the fact that the bit clock to frame clock ratio
was wrong.  With the clocking and McBSP DMA transfer scheme chosen in
the bmi_audio driver, the bit clock must match the frame clock exactly
(e.g. with 16 bits sample width, the bit clock must be 32 times the
frame clock).
@hanshuebner
Copy link
Contributor Author

I'm closing this pull request again - I think that the driver, as it stands, is simply using the wrong clock (48Mhz) for audio. Instead, the clock must be generated by the codec, which has a PLL that can be used to match many sample rates. tlv320aic3x.c has code to set up the clock generator properly, and I'm going to use that.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
1 participant