Skip to content

Commit

Permalink
change testcase
Browse files Browse the repository at this point in the history
  • Loading branch information
catkira committed May 23, 2023
1 parent 56aae6d commit d37d27b
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions tests/test_receiver.py
Original file line number Diff line number Diff line change
Expand Up @@ -599,9 +599,9 @@ def test_recording(FILE, HALF_CP_ADVANCE, MULT_REUSE, RND_JITTER):
if __name__ == '__main__':
os.environ['PLOTS'] = '1'
os.environ['SIM'] = 'verilator'
if False:
if True:
test(IN_DW = 32, OUT_DW = 32, TAP_DW = 32, WINDOW_LEN = 8, CFO = 0, HALF_CP_ADVANCE = 1, USE_TAP_FILE = 1, LLR_DW = 8,
NFFT = 8, MULT_REUSE = 0, INITIAL_DETECTION_SHIFT = 3, INITIAL_CFO_MODE = 1, RND_JITTER = 0, FILE = '762000KHz_3840KSPS_low_gain')
NFFT = 8, MULT_REUSE = 0, INITIAL_DETECTION_SHIFT = 3, INITIAL_CFO_MODE = 1, RND_JITTER = 0, FILE = '772850KHz_3840KSPS_low_gain')
else:
test(IN_DW = 32, OUT_DW = 32, TAP_DW = 32, WINDOW_LEN = 8, CFO = 0, HALF_CP_ADVANCE = 1, USE_TAP_FILE = 1, LLR_DW = 8,
NFFT = 8, MULT_REUSE = 0, INITIAL_DETECTION_SHIFT = 4, INITIAL_CFO_MODE = 1, RND_JITTER = 0)

0 comments on commit d37d27b

Please sign in to comment.