Skip to content

Settings and plugins for Orbit, an HDL package manager.

Notifications You must be signed in to change notification settings

cdotrus/orbit-profile

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

76 Commits
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

orbit-profile

A collection of configurations and plugins for integration with Orbit.

Plugins

The following plugins are implemented in this profile:

  • gsim: Run simulations using the GHDL simulator
  • msim: Run simulations using the ModelSim simulator
  • quartz: Run end-to-end FPGA toolflows using Intel Quartus Prime

Installing

  1. Download the profile:
git clone https://github.com/cdotrus/orbit-profile.git "$(orbit env ORBIT_HOME)/profiles/cdotrus"
  1. Install the required python packages:
pip install -r "$(orbit env ORBIT_HOME)/profiles/cdotrus/requirements.txt"
  1. Link the profile's configuration file to your home configuration:
orbit config --append include="profiles/cdotrus/config.toml"
  1. (Optional) Install the Python implementation of veriti - a verification library for assisting in simulating HDL designs. Follow the instruction here. This package is used in some plugin workflows.

Updating

To receive the latest changes:

git -C "$(orbit env ORBIT_HOME)/profiles/cdotrus" pull

About

Settings and plugins for Orbit, an HDL package manager.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published