Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Cannot run Verilator Test #692

Open
EngRaff92 opened this issue Jul 17, 2022 · 0 comments
Open

Cannot run Verilator Test #692

EngRaff92 opened this issue Jul 17, 2022 · 0 comments

Comments

@EngRaff92
Copy link

EngRaff92 commented Jul 17, 2022

First off thanks so much for getting time to explore and fix Verilator build UHDM on Mac M1. I tried to run tests and I got library error (arm64):
rm -rf /Users/raffaelemac/verilator/uhdm-integration/build
mkdir -p /Users/raffaelemac/verilator/uhdm-integration/build
mkdir -p /Users/raffaelemac/verilator/uhdm-integration/dumps
(cd /Users/raffaelemac/verilator/uhdm-integration/build &&
/Users/raffaelemac/verilator/uhdm-integration/../image/bin/verilator

--cc /Users/raffaelemac/verilator/uhdm-integration/tests/onenet/top.sv

--top-module top
--exe /Users/raffaelemac/verilator/uhdm-integration/tests/onenet/main.cpp --trace &&
make -j -C obj_dir -f Vtop.mk Vtop &&
obj_dir/Vtop )
g++ -I. -MMD -I/Users/raffaelemac/verilator//include -I/Users/raffaelemac/verilator//include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fbracket-depth=4096 -fcf-protection=none -Qunused-arguments -Wno-bool-operation -Wno-tautological-bitwise-compare -Wno-parentheses-equality -Wno-sign-compare -Wno-uninitialized -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++17 -Os -c -o main.o /Users/raffaelemac/verilator/uhdm-integration/tests/onenet/main.cpp
g++ -I. -MMD -I/Users/raffaelemac/verilator//include -I/Users/raffaelemac/verilator//include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fbracket-depth=4096 -fcf-protection=none -Qunused-arguments -Wno-bool-operation -Wno-tautological-bitwise-compare -Wno-parentheses-equality -Wno-sign-compare -Wno-uninitialized -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++17 -Os -c -o verilated.o /Users/raffaelemac/verilator//include/verilated.cpp
g++ -I. -MMD -I/Users/raffaelemac/verilator//include -I/Users/raffaelemac/verilator//include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fbracket-depth=4096 -fcf-protection=none -Qunused-arguments -Wno-bool-operation -Wno-tautological-bitwise-compare -Wno-parentheses-equality -Wno-sign-compare -Wno-uninitialized -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++17 -Os -c -o verilated_vcd_c.o /Users/raffaelemac/verilator//include/verilated_vcd_c.cpp
/usr/bin/perl /Users/raffaelemac/verilator//bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h6944321b__0.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h6944321b__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp > Vtop__ALL.cpp
echo "" > Vtop__ALL.verilator_deplist.tmp
g++ -I. -MMD -I/Users/raffaelemac/verilator//include -I/Users/raffaelemac/verilator//include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fbracket-depth=4096 -fcf-protection=none -Qunused-arguments -Wno-bool-operation -Wno-tautological-bitwise-compare -Wno-parentheses-equality -Wno-sign-compare -Wno-uninitialized -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++17 -Os -c -o Vtop__ALL.o Vtop__ALL.cpp
Archive ar -rcs Vtop__ALL.a Vtop__ALL.o
ar: @Vtop__ALL.a.verilator_deplist.tmp: No such file or directory
g++ main.o verilated.o verilated_vcd_c.o Vtop__ALL.a -o Vtop
ld: file too small (length=8) file 'Vtop__ALL.a' for architecture arm64
clang: error: linker command failed with exit code 1 (use -v to see invocation)
make[1]: *** [Vtop] Error 1
rm Vtop__ALL.verilator_deplist.tmp
make: *** [verilator/test-ast] Error 2

any idea on how to fix it ?

I ran the same test on a different design using CoCoTB and still the same issue. Seems like I cannot execute Verilator (at all regardless of the Design as long as it compiles).

Regards Raffaele

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant