Skip to content

Commit

Permalink
Hack to deal with remaining evars in fixpoints.
Browse files Browse the repository at this point in the history
  • Loading branch information
herbelin committed Sep 2, 2023
1 parent 5b84786 commit 7d14b17
Showing 1 changed file with 3 additions and 0 deletions.
3 changes: 3 additions & 0 deletions kernel/cClosure.ml
Original file line number Diff line number Diff line change
Expand Up @@ -1396,6 +1396,7 @@ and knht info e t stk =
| LetIn (n,b,t,c) ->
{ mark = Red; term = FLetIn (n, mk_clos e b, mk_clos e t, c, e) }, stk
| Evar ev ->
(try
begin match info.i_cache.i_sigma.evar_expand ev with
| EvarDefined c -> knht info e c stk
| EvarUndefined (evk, args) ->
Expand All @@ -1405,6 +1406,8 @@ and knht info e t stk =
else
(mk_irrelevant, skip_irrelevant_stack info stk)
end
with Assert_failure _ ->
{ mark = Ntrl; term = FAtom t }, stk)
| Array(u,t,def,ty) ->
let len = Array.length t in
let ty = mk_clos e ty in
Expand Down

0 comments on commit 7d14b17

Please sign in to comment.