Skip to content

Commit

Permalink
HPIO ODELAY
Browse files Browse the repository at this point in the history
Signed-off-by: David Shah <dave@ds0.me>
  • Loading branch information
gatecat committed Feb 16, 2020
1 parent 744890b commit f731da0
Show file tree
Hide file tree
Showing 2 changed files with 23 additions and 8 deletions.
20 changes: 17 additions & 3 deletions fuzzers/035a-iob-idelay/Makefile
Original file line number Diff line number Diff line change
@@ -1,14 +1,21 @@
N := 5
include ../fuzzer.mk

database: build/segbits_xiob33.db
database: build/segbits_xiob33.db build/segbits_xiob18.db

build/segbits_xiob33.rdb: $(SPECIMENS_OK)
${XRAY_SEGMATCH} -m 1 -M 1 -o build/segbits_xiob33.rdb $$(find -name segdata_*.txt)
${XRAY_SEGMATCH} -m 1 -M 1 -o build/segbits_xiob33.rdb $$(find -name segdata_?ioi3*.txt)

build/segbits_xiob33.db: build/segbits_xiob33.rdb
${XRAY_DBFIXUP} --db-root build --zero-db bits.dbf --seg-fn-in $^ --seg-fn-out $@
${XRAY_MASKMERGE} build/mask_xiob33.db $$(find -name segdata_*.txt)
${XRAY_MASKMERGE} build/mask_xiob33.db $$(find -name segdata_?ioi3*.txt)

build/segbits_xiob18.rdb: $(SPECIMENS_OK)
${XRAY_SEGMATCH} -m 1 -M 1 -o build/segbits_xiob18.rdb $$(find -name segdata_?ioi.txt) $$(find -name segdata_?ioi_*.txt)

build/segbits_xiob18.db: build/segbits_xiob18.rdb
${XRAY_DBFIXUP} --db-root build --zero-db bits.dbf --seg-fn-in $^ --seg-fn-out $@
${XRAY_MASKMERGE} build/mask_xiob18.db $$(find -name segdata_?ioi.txt) $$(find -name segdata_?ioi_*.txt)

pushdb:
${XRAY_MERGEDB} lioi3 build/segbits_xiob33.db
Expand All @@ -24,5 +31,12 @@ pushdb:
${XRAY_MERGEDB} mask_rioi3_tbytesrc build/mask_xiob33.db
${XRAY_MERGEDB} mask_rioi3_tbyteterm build/mask_xiob33.db

${XRAY_MERGEDB} rioi build/segbits_xiob18.db
${XRAY_MERGEDB} rioi_tbytesrc build/segbits_xiob18.db
${XRAY_MERGEDB} rioi_tbyteterm build/segbits_xiob18.db
${XRAY_MERGEDB} mask_rioi build/mask_xiob18.db
${XRAY_MERGEDB} mask_rioi_tbytesrc build/mask_xiob18.db
${XRAY_MERGEDB} mask_rioi_tbyteterm build/mask_xiob18.db

.PHONY: database pushdb

11 changes: 6 additions & 5 deletions fuzzers/035a-iob-idelay/top.py
Original file line number Diff line number Diff line change
Expand Up @@ -18,29 +18,30 @@ def gen_sites():

tile_list = []
for tile_name in sorted(grid.tiles()):
if "IOB33" not in tile_name or "SING" in tile_name:
if ("IOB33" not in tile_name and "IOB18" not in tile_name) or "SING" in tile_name:
continue
tile_list.append(tile_name)

get_xy = util.create_xy_fun('[LR]IOB33_')
get_xy = util.create_xy_fun('[LR]IOB\\d\\d_')
tile_list.sort(key=get_xy)

for iob_tile_name in tile_list:
iob_gridinfo = grid.gridinfo_at_loc(
grid.loc_of_tilename(iob_tile_name))

# Find IOI tile adjacent to IOB
for suffix in ["IOI3", "IOI3_TBYTESRC", "IOI3_TBYTETERM"]:
for suffix in ["IOI3", "IOI3_TBYTESRC", "IOI3_TBYTETERM", "IOI", "IOI_TBYTESRC", "IOI_TBYTETERM"]:
try:
ioi_tile_name = iob_tile_name.replace("IOB33", suffix)
ioi_tile_name = iob_tile_name.replace("IOB18", suffix)
ioi_gridinfo = grid.gridinfo_at_loc(
grid.loc_of_tilename(ioi_tile_name))
break
except KeyError:
pass

iob33s = [k for k, v in iob_gridinfo.sites.items() if v == "IOB33S"][0]
iob33m = [k for k, v in iob_gridinfo.sites.items() if v == "IOB33M"][0]
iob33s = [k for k, v in iob_gridinfo.sites.items() if v in ("IOB33S", "IOB18S")][0]
iob33m = [k for k, v in iob_gridinfo.sites.items() if v in ("IOB33M", "IOB18M")][0]
idelay_s = iob33s.replace("IOB", "IDELAY")
idelay_m = iob33m.replace("IOB", "IDELAY")

Expand Down

0 comments on commit f731da0

Please sign in to comment.