Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Verilog: parse tree now stores package_items #398

Open
wants to merge 1 commit into
base: main
Choose a base branch
from
Open
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
1 change: 1 addition & 0 deletions src/verilog/parser.y
Original file line number Diff line number Diff line change
Expand Up @@ -591,6 +591,7 @@ description:
| program_declaration
| package_declaration
| attribute_instance_brace package_item
{ PARSER.parse_tree.create_package_item(stack_expr($2)); }
| attribute_instance_brace bind_directive
| config_declaration
;
Expand Down
11 changes: 5 additions & 6 deletions src/verilog/verilog_parse_tree.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -29,10 +29,8 @@ void verilog_parse_treet::create_module(
exprt &ports,
exprt &module_items)
{
items.push_back(itemt());
items.push_back(itemt(itemt::MODULE));
itemt &item=items.back();

item.type=itemt::MODULE;

verilog_modulet &new_module=item.verilog_module;

Expand Down Expand Up @@ -135,9 +133,10 @@ void verilog_parse_treet::itemt::show(std::ostream &out) const
case itemt::MODULE:
verilog_module.show(out);
break;

case itemt::TYPEDEF:
verilog_typedef.show(out);

case itemt::PACKAGE_ITEM:
out << "Package item:\n";
out << verilog_package_item.pretty() << '\n';
break;

default:
Expand Down
41 changes: 17 additions & 24 deletions src/verilog/verilog_parse_tree.h
Original file line number Diff line number Diff line change
Expand Up @@ -27,37 +27,32 @@ class verilog_parse_treet

verilog_standardt standard;

class verilog_typedeft
struct itemt
{
public:
typet symbol;
typet type;

void show(std::ostream &out) const
typedef enum
{
MODULE,
PACKAGE_ITEM
} item_typet;
item_typet type;

explicit itemt(item_typet __type) : type(__type)
{
out << "Typedef:\n";
out << "\n";
}
};

struct itemt
{
public:
typedef enum { MODULE, TYPEDEF } item_typet;
item_typet type;

verilog_modulet verilog_module;
verilog_typedeft verilog_typedef;

exprt verilog_package_item;

bool is_module() const
{
return type==MODULE;
}

bool is_typedef() const
bool is_package_item() const
{
return type==TYPEDEF;
return type == PACKAGE_ITEM;
}

void show(std::ostream &out) const;
Expand Down Expand Up @@ -88,12 +83,10 @@ class verilog_parse_treet
exprt &ports,
exprt &statements);

void create_typedef(irept &declaration)
void create_package_item(exprt package_item)
{
items.push_back(itemt());
items.back().type=itemt::TYPEDEF;
items.back().verilog_typedef.symbol.swap(declaration.get_sub()[0]);
items.back().verilog_typedef.type.swap(declaration.add(ID_type));
items.push_back(itemt(itemt::PACKAGE_ITEM));
items.back().verilog_package_item = std::move(package_item);
}

void swap(verilog_parse_treet &parse_tree)
Expand Down