Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Test name incorrectly parsed #19

Closed
MatthewScholefield opened this issue Sep 11, 2019 · 3 comments
Closed

Test name incorrectly parsed #19

MatthewScholefield opened this issue Sep 11, 2019 · 3 comments

Comments

@MatthewScholefield
Copy link

Consider the following module, register.v:

module register(q, d, clk, enable, reset);
    // ...
endmodule

Running svutCreate register.v generates the file register(q,_unit_test.sv.

@dpretet
Copy link
Owner

dpretet commented Sep 11, 2019

Hello @MatthewScholefield

SVUT at the moment doesn't support old style IO declaration, but the one illustrated in specs 1364-2001 or 1800-2012. Old style leads to create the kind of file you observed, which I guess contain pretty crappy code inside.

If it's OK, the ticket can be closed, else I can work on this support during the weekend.

@dpretet
Copy link
Owner

dpretet commented Sep 27, 2019

If no answer Matthew I will close the issue...

@MatthewScholefield
Copy link
Author

Sure, it's fine to close the issue either way.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants