Skip to content

Commit

Permalink
WIP
Browse files Browse the repository at this point in the history
  • Loading branch information
In-line committed Jan 14, 2018
1 parent 4940e2e commit 65fe443
Show file tree
Hide file tree
Showing 2 changed files with 8 additions and 4 deletions.
4 changes: 1 addition & 3 deletions rehlds/build.gradle
Original file line number Diff line number Diff line change
Expand Up @@ -170,10 +170,8 @@ void setupToolchain(NativeBinarySpec b) {
} else {
cfg.compilerOptions.args '-Qoption,cpp,--treat_func_as_string_literal_cpp'
}
cfg.compilerOptions.args '-ffunction-sections', '-fdata-sections' // Remove unused code and data
cfg.compilerOptions.args '-fno-rtti', '-fno-exceptions'
cfg.compilerOptions.args '-fno-rtti', '-fno-exceptions', '-ggdb'

cfg.linkerOptions.args '-Wl,--version-script=../version_script.lds', '-Wl,--gc-sections'

cfg.projectLibpath(project, '/lib/linux32')
cfg.extraLibs 'rt', 'dl', 'm', 'steam_api', 'aelf32', 'pthread'
Expand Down
8 changes: 7 additions & 1 deletion rehlds/engine/net_ws.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -1123,7 +1123,11 @@ int NET_Sleep()
}

tv.tv_sec = 0;
#ifdef REHLDS_FIXES
tv.tv_usec = 0.25 * 1000000;
#else
tv.tv_usec = 20 * 1000;
#endif

return select((int)(number + 1), &fdset, NULL, NULL, net_sleepforever == 0 ? &tv : NULL);
}
Expand Down Expand Up @@ -2075,7 +2079,9 @@ void NET_Init()
use_thread = FALSE;
#endif

if (COM_CheckParm("-netsleep"))
#ifndef REHLDS_FIXES
if (COM_CheckParm("-netsleep")) // Sleeping forever is useless.
#endif
net_sleepforever = 0;

#ifdef _WIN32
Expand Down

0 comments on commit 65fe443

Please sign in to comment.