Skip to content

Commit

Permalink
Log
Browse files Browse the repository at this point in the history
  • Loading branch information
EricYangIBM committed May 26, 2022
1 parent 0536fa6 commit 3ffc941
Showing 1 changed file with 2 additions and 0 deletions.
2 changes: 2 additions & 0 deletions fvtest/porttest/si.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -2395,6 +2395,7 @@ class CgroupTest : public ::testing::Test {
std::regex v1Regex(R"(^[0-9]+:([^:]*):(.+)$)");
std::smatch sm;

portTestEnv->log(LEVEL_ERROR, "/proc/self/cgroup line:\n %s\n", line.c_str());
ASSERT_TRUE(std::regex_match(line, sm, v1Regex));
if (0 != sm[1].length()) {
std::stringstream ss(sm[1].str());
Expand Down Expand Up @@ -2426,6 +2427,7 @@ class CgroupTest : public ::testing::Test {
std::regex v2Regex(R"(^0::(.+)$)");
std::smatch sm;

portTestEnv->log(LEVEL_ERROR, "/proc/self/cgroup line:\n %s\n", line.c_str());
ASSERT_TRUE(std::regex_match(line, sm, v2Regex));

cpuCgroup = sm[1].str();
Expand Down

0 comments on commit 3ffc941

Please sign in to comment.