Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add buttons_arty target #1006

Merged
merged 5 commits into from
Sep 24, 2019
Merged
Show file tree
Hide file tree
Changes from 1 commit
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
4 changes: 2 additions & 2 deletions xc7/archs/artix7/devices/CMakeLists.txt
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
add_xc7_device_define(
ARCH artix7
DEVICES xc7a50t-basys3 xc7a50t-arty
PARTS xc7a35tcpg236-1 xc7a35tcsg324-1
DEVICES xc7a50t-basys3 xc7a50t-arty xc7a50t-arty-swbut
duck2 marked this conversation as resolved.
Show resolved Hide resolved
PARTS xc7a35tcpg236-1 xc7a35tcsg324-1 xc7a35tcsg324-1
)
Original file line number Diff line number Diff line change
@@ -0,0 +1,8 @@
add_xc7_device_define_type(
ARCH artix7
DEVICE xc7a50t-arty-swbut
ROI_PART xc7a35tcsg324-1
ROI_DIR ${PRJXRAY_DB_DIR}/artix7/harness/arty-a7/swbut
TILE_TYPES SLICEL SLICEM BRAM_L
NAME arty-swbut
)
8 changes: 8 additions & 0 deletions xc7/boards.cmake
Original file line number Diff line number Diff line change
Expand Up @@ -9,6 +9,14 @@ define_board(
PROG_CMD "${OPENOCD} -f ${PRJXRAY_DIR}/utils/openocd/board-digilent-basys3.cfg -c \\\"init $<SEMICOLON> pld load 0 \${OUT_BIN} $<SEMICOLON> exit\\\""
)

define_board(
BOARD arty-swbut
DEVICE xc7a50t-arty-swbut
PACKAGE test
PROG_TOOL ${OPENOCD_TARGET}
PROG_CMD "${OPENOCD} -f ${PRJXRAY_DIR}/utils/openocd/board-digilent-basys3.cfg -c \\\"init $<SEMICOLON> pld load 0 \${OUT_BIN} $<SEMICOLON> exit\\\""
)

define_board(
BOARD arty
DEVICE xc7a50t-arty
Expand Down
7 changes: 7 additions & 0 deletions xc7/tests/buttons/CMakeLists.txt
Original file line number Diff line number Diff line change
@@ -1,4 +1,11 @@
add_fpga_target(
NAME buttons_arty
BOARD arty-swbut
SOURCES buttons_arty.v
INPUT_IO_FILE arty.pcf
)

add_fpga_target(
NAME buttons_basys3
BOARD basys3
SOURCES buttons_basys3.v
Expand Down
20 changes: 20 additions & 0 deletions xc7/tests/buttons/arty.pcf
Original file line number Diff line number Diff line change
@@ -0,0 +1,20 @@
# in[7:0] corresponds to {BTN3-0, SW3-0} in arty
set_io in[0] A8
set_io in[1] C11
set_io in[2] C10
set_io in[3] A10
set_io in[4] D9
set_io in[5] C9
set_io in[6] B9
set_io in[7] B8

# out[7:0] corresponds to {LD7-0} in arty.
# only green components of RGB leds
set_io out[0] F6
set_io out[1] J4
set_io out[2] J2
set_io out[3] H6
set_io out[4] H5
set_io out[5] J5
set_io out[6] T9
set_io out[7] T10
6 changes: 6 additions & 0 deletions xc7/tests/buttons/buttons_arty.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,6 @@
module top(
input [7:0] in,
output [7:0] out
);
assign out = in;
endmodule