Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[xc7] Add clock placer #1237

Merged
merged 9 commits into from
Jan 3, 2020
Merged

[xc7] Add clock placer #1237

merged 9 commits into from
Jan 3, 2020

Conversation

acomodi
Copy link
Contributor

@acomodi acomodi commented Dec 17, 2019

This PR builds on top of #1232 as a fix for the python linter was needed.

With prjxray ad prjxray-db updated to latest version, containing the clock_region addition, this PR should now go green.

@probot-autolabeler probot-autolabeler bot added lang-python Issue uses (or requires) Python language. type-utils Issues is related to the scripts inside the repo. labels Dec 17, 2019
@acomodi acomodi force-pushed the build_clocks branch 2 times, most recently from 10018a6 to 8a0441c Compare December 19, 2019 14:31
@acomodi
Copy link
Contributor Author

acomodi commented Dec 19, 2019

I think CI is hitting the same issue as in #1222

FAILED: cd /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test && /tmpfs/src/github/symbiflow-arch-defs/utils/quiet_cmd.sh /tmpfs/src/github/vtr-verilog-to-routing/build/utils/fasm/genfasm /tmpfs/src/github/symbiflow-arch-defs/build/xc7/archs/artix7/devices/xc7a50t-virt/arch.timing.xml /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/top.eblif --device xc7a50t-test --read_rr_graph /tmpfs/src/github/symbiflow-arch-defs/build/xc7/archs/artix7/devices/rr_graph_xc7a50t_test.rr_graph.real.xml --min_route_chan_width_hint 100 --max_router_iterations 500 --routing_failure_predictor off --router_high_fanout_threshold -1 --constant_net_method route --route_chan_width 500 --clock_modeling route --place_delay_model delta_override --router_lookahead connection_box_map --disable_check_route on --strict_checks off --clustering_pin_feasibility_filter off --allow_dangling_combinational_nodes on --disable_errors check_unbuffered_edges:check_route --congested_routing_iteration_threshold 0.8 --incremental_reroute_delay_ripup off --base_cost_type delay_normalized_length_bounded --astar_fac 1.2 --bb_factor 10 --initial_pres_fac 4.0 --disable_check_rr_graph on --suppress_warnings /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/noisy_warnings.log,sum_pin_class:check_unbuffered_edges:load_rr_indexed_data_T_values:check_rr_node:trans_per_R && /usr/bin/cmake -E copy /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/vpr_stdout.log /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/genhlc.log && /usr/bin/cmake -E copy /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/top.fasm /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/top.genfasm.fasm && cat /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/top.fasm /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/top_fasm_extra.fasm > /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/top.concat.fasm && /usr/bin/cmake -E rename /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/top.concat.fasm /tmpfs/src/github/symbiflow-arch-defs/build/xc7/tests/iobuf_infer/iobuf_infer_basys3/artix7-xc7a50t-virt-xc7a50t-test/top.fasm
/tmpfs/src/github/symbiflow-arch-defs/utils/quiet_cmd.sh: line 9: 13770 Killed                  "$@" > $OUTPUT 2>&1

litghost and others added 8 commits December 23, 2019 12:45
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
Fixes f4pga#1231

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
@acomodi
Copy link
Contributor Author

acomodi commented Dec 23, 2019

@litghost PR went green on all the builds other than Vendor Tools Tests. This is still related, I believe to the fact that a BUFHCE output is illegally crossing a clock region to get to destination.

I need to reproduce locally in order to be sure about it. Anyway, I believe this can be merged

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
lang-python Issue uses (or requires) Python language. type-utils Issues is related to the scripts inside the repo.
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants