Skip to content

Commit

Permalink
Merge pull request YosysHQ#91 from mikey/example-fix
Browse files Browse the repository at this point in the history
Remove redundant --45k option from picorv32_ulx3s Makefile
  • Loading branch information
gatecat committed Sep 5, 2019
2 parents 1f995c6 + e8fb4b6 commit e4a65a0
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion examples/picorv32_ulx3s/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ attosoc.json: io_wrapper.v attosoc.v picorv32.v firmware.hex
yosys -p "synth_ecp5 -json $@" io_wrapper.v attosoc.v picorv32.v

attosoc_out.config: attosoc.json
nextpnr-ecp5 --45k --package CABGA381 --json $< --textcfg $@ --45k --no-tmdriv
nextpnr-ecp5 --45k --package CABGA381 --json $< --textcfg $@ --no-tmdriv

attosoc.bit: attosoc_out.config
ecppack $< $@
Expand Down

0 comments on commit e4a65a0

Please sign in to comment.