Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

071-ppips: In Kintex7, two wires are permanently connected to RIOI_O[01] #2063

Open
wants to merge 1 commit into
base: master
Choose a base branch
from
Open
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
24 changes: 23 additions & 1 deletion fuzzers/071-ppips/generate.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -131,11 +131,33 @@ proc write_ioi_ppips_db {filename tile} {

foreach pip [get_pips -of_objects $tile] {
set dst_wire [get_wires -downhill -of_objects $pip]
set nodes [get_nodes -of_objects $dst_wire]
set uphill_pips [get_pips -uphill -of_objects $nodes]

if [string match "*DATAOUT*" $dst_wire] {
continue
} elseif {[get_pips -uphill -of_objects [get_nodes -of_objects $dst_wire]] == $pip} {
} elseif {$uphill_pips == $pip} {
# if there is only one uphill pip, then output always
# TODO: This really does not get us the ppips,
# but those pips which only have a single uphill pip.
# There are both false positives and false negatives
# with this approach: This also captures switchbox pips which
# only have one uphill pip but still can be turned on or off.
# example: LIOI3.IOI_ILOGIC0_CE1.IOI_IMUX5_1
# It also does not capture ppips with two uphill pips,
# like RIOI.RIOI_OLOGIC0_OQ and RIOI.ODELAY0_DATAOUT in Kintex7,
# which both are connected to RIOIO_O0. In Artix7 this one
# is only connected to _OQ.
set src_wire [get_wires -uphill -of_objects $pip]
puts $fp "${tile_type}.[regsub {.*/} $dst_wire ""].[regsub {.*/} $src_wire ""] always"
} elseif {[lsearch -exact $uphill_pips $pip]} {
# In Kintex7, two wires are permanently connected to RIOI_O[01]
if {[string match "*RIOI_O[01]" $dst_wire] && [llength $uphill_pips] == 2} {
foreach uphill_pip $uphill_pips {
set src_wire [get_wires -uphill -of_objects $uphill_pip]
puts $fp "${tile_type}.[regsub {.*/} $dst_wire ""].[regsub {.*/} $src_wire ""] always"
}
}
}
}

Expand Down