Skip to content

Latest commit

 

History

History
196 lines (135 loc) · 6.92 KB

index.rst

File metadata and controls

196 lines (135 loc) · 6.92 KB

from helpers import createShields createShields()

GHDL

GHDL: free and open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL

html

News

31.01.2021 - GHDL v1.0.0rc1 was tagged

  • Python bindings were overhauled and renamed to pyGHDL. Three modules are included: libghdl, lsp and dom.
    • The utility scripts in the codebase were moved into subdir scripts: CI, binding generation, vendors, etc.
  • Repository ghdl/extended-tests was created for testing vendors build scripts.
  • The logo was updated (org, ghdl/ghdl, ghdl/docker and ghdl/ghdl-cosim).
  • Assets are not added to releases or pre-releases anymore. Users should use package managers or nightly assets.

21.05.2020 - Nightly build assets available

  • After each successful CI run of branch master, packages are published as assets of pre-release nightly.
  • GitHub Action ghdl/setup-ghdl-ci was created, to allow easy installation of nightly GHDL assets in GitHub Actions workflows.

09.05.2020 - New repositories and a wiki were created

The major changes are:

  • Experimental support of synthesis (either with --synth or with
the Yosys plugin).
  • Fixes and improved support of vhdl 2008.
  • Last version that supports the Mentor variation of

std_logic_arith. The Synopsys one is still available.

23.02.2019 - GHDL v0.36-rc1 was released

The major improvements are:

  • more support of unbounded arrays and records
  • support of UVVM and Vunit

29.11.2018 - GHDL 20181129 was released

20.12.2017 - A new GitHub organization was created

A new GitHub organization is created and the main repo is moved from github.com/tgingold/ghdl to github.com/ghdl/ghdl. Old refs will continue working, because permanent redirects are set up. However, we suggest every contributor to update the remote URLs in their local clones.

23.10.2015 - GHDL 0.33 was released

latex

02.02.2021 - GHDL v1.0 was released.

31.01.2021 - GHDL v1.0.0rc1 was tagged.

21.05.2020 - Nightly build assets available.

09.05.2020 - New repositories and a wiki were created.

28.02.2020 - GHDL v0.37 was released.

03.03.2019 - GHDL v0.36 was released.

23.02.2019 - GHDL v0.36-rc1 was released.

29.11.2018 - GHDL 20181129 was released.

20.12.2017 - A new GitHub organization was created.

14.12.2017 - GHDL 0.35 was released.

15.08.2017 - GHDL 0.34 was released.

23.10.2015 - GHDL 0.33 was released.

about getting contribute licenses

quick_start/index using/InvokingGHDL using/Simulation using/Synthesis using/CommandReference using/ImplementationOfVHDL using/ImplementationOfVITAL

development/Directories Building GHDL <development/building/index> Python Interfaces <pyGHDL/pyGHDL> ghw/index development/Debugging development/CodingStyle development/Scripts

internals/Overview internals/Frontend internals/AST internals/RTI gnatdoc/index

genindex py-modindex