Skip to content

Commit

Permalink
testsuite/gna: add a test for #543
Browse files Browse the repository at this point in the history
  • Loading branch information
tgingold committed Sep 18, 2021
1 parent c55c477 commit 140ec48
Show file tree
Hide file tree
Showing 3 changed files with 38 additions and 0 deletions.
10 changes: 10 additions & 0 deletions testsuite/gna/issue543/ent.vhdl
@@ -0,0 +1,10 @@
entity ent is end entity;
architecture arch of ent is
attribute att :integer_vector;
constant const :integer := 1;
attribute att of const:constant is (2, 3);
begin
assert false
report "const'att(0) is " & integer'image(const'att(0))
severity note;
end architecture;
14 changes: 14 additions & 0 deletions testsuite/gna/issue543/repro1.vhdl
@@ -0,0 +1,14 @@
entity repro1 is
end entity;

architecture arch of repro1 is
attribute att : integer_vector;
constant const : integer := 1;
attribute att of const: constant is (2, 3);
constant const2 : integer_vector := const'att;
constant const3 : integer_vector := const2;
begin
assert false
report "const'att(0) is " & integer'image(const3(0))
severity note;
end architecture;
14 changes: 14 additions & 0 deletions testsuite/gna/issue543/testsuite.sh
@@ -0,0 +1,14 @@
#! /bin/sh

. ../../testenv.sh

export GHDL_STD_FLAGS=--std=08
analyze ent.vhdl
elab_simulate ent

analyze repro1.vhdl
elab_simulate repro1

clean

echo "Test successful"

0 comments on commit 140ec48

Please sign in to comment.