Skip to content

Commit

Permalink
Add testcase for previous patch.
Browse files Browse the repository at this point in the history
  • Loading branch information
gingold-adacore committed Nov 27, 2015
1 parent f4c4a99 commit 797c7c5
Show file tree
Hide file tree
Showing 2 changed files with 17 additions and 0 deletions.
7 changes: 7 additions & 0 deletions testsuite/gna/bug028/simple.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,7 @@
entity simple is
end simple;

architecture behav of simple is
begin
assert false report "Hello";
end behav;
10 changes: 10 additions & 0 deletions testsuite/gna/bug028/testsuite.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,10 @@
#! /bin/sh

. ../../testenv.sh

GHDL_STD_FLAGS=--std=08
GHDL_FLAGS=--ieee=synopsys
analyze simple.vhdl 2>&1 | grep ignored
clean

echo "Test successful"

0 comments on commit 797c7c5

Please sign in to comment.