Skip to content

Commit

Permalink
testsuite/gna: add a test for #1810
Browse files Browse the repository at this point in the history
  • Loading branch information
tgingold committed Jun 30, 2021
1 parent f33ab7a commit afae1a4
Show file tree
Hide file tree
Showing 2 changed files with 21 additions and 0 deletions.
8 changes: 8 additions & 0 deletions testsuite/gna/issue1810/repro.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,8 @@
entity repro is
end;

architecture behav of repro is
constant t1: time := 10 ns mod 3 ns;
begin
assert t1 = 1 ns severity failure;
end;
13 changes: 13 additions & 0 deletions testsuite/gna/issue1810/testsuite.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,13 @@
#! /bin/sh

. ../../testenv.sh

analyze_failure repro.vhdl

export GHDL_STD_FLAGS=--std=08
analyze repro.vhdl
elab_simulate repro

clean

echo "Test successful"

0 comments on commit afae1a4

Please sign in to comment.