Skip to content

Commit

Permalink
Add reproducer for bug24326.
Browse files Browse the repository at this point in the history
  • Loading branch information
tgingold committed Jan 19, 2016
1 parent 2fae548 commit cad4bda
Show file tree
Hide file tree
Showing 4 changed files with 72 additions and 0 deletions.
7 changes: 7 additions & 0 deletions testsuite/gna/bug24326/repro1.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,7 @@
entity repro1 is
end;

architecture behav of repro1 is
constant c : natural := 5 % 4;
begin
end;
27 changes: 27 additions & 0 deletions testsuite/gna/bug24326/tb_thingy7.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,27 @@
entity tb_thingy is
end tb_thingy;

architecture tb of tb_thingy is
component thingy is
generic (
a_a : integer
);
port (
x_x : in bit;
y_y : out bit
);
end component;
signal stimuli : bit;
signal response : bit;
begin

dut : thingy
generic map (
a_a => 42
)
port map (
x%x => stimuli, -- <==
y_y => response
);

end tb;
27 changes: 27 additions & 0 deletions testsuite/gna/bug24326/tb_thingy9.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,27 @@
entity tb_thingy is
end tb_thingy;

architecture tb of tb_thingy is
component thingy is
generic (
a_a : integer
);
port (
x%x : in bit; -- <==
y_y : out bit
);
end component;
signal stimuli : bit;
signal response : bit;
begin

dut : thingy
generic map (
a_a => 42
)
port map (
x_x => stimuli,
y_y => response
);

end tb;
11 changes: 11 additions & 0 deletions testsuite/gna/bug24326/testsuite.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
#! /bin/sh

. ../../testenv.sh

analyze_failure tb_thingy7.vhdl
analyze_failure tb_thingy9.vhdl
analyze_failure repro1.vhdl

clean

echo "Test successful"

0 comments on commit cad4bda

Please sign in to comment.