Skip to content

Commit

Permalink
testsuite/gna: add a test for previous commit
Browse files Browse the repository at this point in the history
  • Loading branch information
tgingold committed Jul 28, 2021
1 parent d86f892 commit d295209
Show file tree
Hide file tree
Showing 2 changed files with 26 additions and 0 deletions.
10 changes: 10 additions & 0 deletions testsuite/gna/bug0123/repro.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,10 @@
entity repro is
end;

architecture behav of repro is
type mem_t is array (natural range <>) of bit_vector (3 downto 0);
constant cst : mem_t := (1 => "0000",
2 => "0001",
3 => "00" & "01");
begin
end behav;
16 changes: 16 additions & 0 deletions testsuite/gna/bug0123/testsuite.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,16 @@
#! /bin/sh

. ../../testenv.sh

analyze repro.vhdl
elab_simulate repro

clean

export GHDL_STD_FLAGS=--std=08
analyze repro.vhdl
elab_simulate repro

clean

echo "Test successful"

0 comments on commit d295209

Please sign in to comment.