Skip to content

Commit

Permalink
testsuite/gna: add a test (close #1667)
Browse files Browse the repository at this point in the history
  • Loading branch information
tgingold committed Aug 6, 2021
1 parent 8a063dc commit ece6e78
Show file tree
Hide file tree
Showing 2 changed files with 25 additions and 0 deletions.
14 changes: 14 additions & 0 deletions testsuite/gna/issue1667/repro.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,14 @@
entity repro is
end;

architecture behav of repro is
type string_vector is array (natural range <>) of string;

type tokenized_line is record
op: string;
params: string_vector;
end record;

constant k : tokenized_line := ("", ("", ""));
begin
end;
11 changes: 11 additions & 0 deletions testsuite/gna/issue1667/testsuite.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
#! /bin/sh

. ../../testenv.sh

export GHDL_STD_FLAGS=--std=08
analyze repro.vhdl
elab_simulate repro

clean

echo "Test successful"

0 comments on commit ece6e78

Please sign in to comment.