Skip to content

Commit

Permalink
testsuite/gna: add a test for #1837
Browse files Browse the repository at this point in the history
  • Loading branch information
tgingold committed Aug 24, 2021
1 parent 291ded5 commit f55d29b
Show file tree
Hide file tree
Showing 2 changed files with 19 additions and 0 deletions.
10 changes: 10 additions & 0 deletions testsuite/gna/issue1837/repro.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,10 @@
entity e is
end entity;

architecture a of e is
begin
end: std.env.stop;
end architecture;

package p is
end package;
9 changes: 9 additions & 0 deletions testsuite/gna/issue1837/testsuite.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
#! /bin/sh

. ../../testenv.sh

analyze_failure repro.vhdl

clean

echo "Test successful"

0 comments on commit f55d29b

Please sign in to comment.