Skip to content

Commit

Permalink
Clean up test documentation formatting (#1475)
Browse files Browse the repository at this point in the history
  • Loading branch information
drfloob committed Aug 27, 2022
1 parent 2a78e8c commit 13196ff
Show file tree
Hide file tree
Showing 2 changed files with 4 additions and 5 deletions.
2 changes: 1 addition & 1 deletion test/reporter_output_test.cc
Original file line number Diff line number Diff line change
Expand Up @@ -318,7 +318,7 @@ ADD_CASES(TC_JSONOut, {{"\"name\": \"BM_no_arg_name/3\",$"},
ADD_CASES(TC_CSVOut, {{"^\"BM_no_arg_name/3\",%csv_report$"}});

// ========================================================================= //
// ------------------------ Testing Arg Name Output ----------------------- //
// ------------------------ Testing Arg Name Output ------------------------ //
// ========================================================================= //

void BM_arg_name(benchmark::State& state) {
Expand Down
7 changes: 3 additions & 4 deletions test/user_counters_test.cc
Original file line number Diff line number Diff line change
Expand Up @@ -195,8 +195,7 @@ void CheckInvert(Results const& e) {
CHECK_BENCHMARK_RESULTS("BM_Invert", &CheckInvert);

// ========================================================================= //
// ------------------------- InvertedRate Counters Output
// -------------------------- //
// --------------------- InvertedRate Counters Output ---------------------- //
// ========================================================================= //

void BM_Counters_InvertedRate(benchmark::State& state) {
Expand Down Expand Up @@ -460,7 +459,7 @@ CHECK_BENCHMARK_RESULTS("BM_Counters_kIsIterationInvariantRate",
&CheckIsIterationInvariantRate);

// ========================================================================= //
// ------------------- AvgIterations Counters Output ------------------ //
// --------------------- AvgIterations Counters Output --------------------- //
// ========================================================================= //

void BM_Counters_AvgIterations(benchmark::State& state) {
Expand Down Expand Up @@ -502,7 +501,7 @@ void CheckAvgIterations(Results const& e) {
CHECK_BENCHMARK_RESULTS("BM_Counters_AvgIterations", &CheckAvgIterations);

// ========================================================================= //
// ----------------- AvgIterationsRate Counters Output ---------------- //
// ------------------- AvgIterationsRate Counters Output ------------------- //
// ========================================================================= //

void BM_Counters_kAvgIterationsRate(benchmark::State& state) {
Expand Down

0 comments on commit 13196ff

Please sign in to comment.