Skip to content

Commit

Permalink
Fix build
Browse files Browse the repository at this point in the history
  • Loading branch information
pepeiborra committed Nov 11, 2021
1 parent 941d76e commit 928495c
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions hls-test-utils/src/Test/Hls.hs
Expand Up @@ -49,7 +49,7 @@ import Development.IDE (IdeState, noLogging)
import Development.IDE.Graph (ShakeOptions (shakeThreads))
import Development.IDE.Main
import qualified Development.IDE.Main as Ghcide
import Development.IDE.Plugin.Test (TestRequest (GetLastBuildKeys, WaitForIdeRule, WaitForShakeQueue),
import Development.IDE.Plugin.Test (TestRequest (GetBuildKeysBuilt, WaitForIdeRule, WaitForShakeQueue),
WaitForIdeRuleResult (ideResultSuccess))
import Development.IDE.Types.Options
import GHC.IO.Handle
Expand Down Expand Up @@ -242,7 +242,7 @@ waitForTypecheck :: TextDocumentIdentifier -> Session (Either ResponseError Bool
waitForTypecheck tid = fmap ideResultSuccess <$> waitForAction "typecheck" tid

getLastBuildKeys :: Session (Either ResponseError [T.Text])
getLastBuildKeys = callTestPlugin GetLastBuildKeys
getLastBuildKeys = callTestPlugin GetBuildKeysBuilt

sendConfigurationChanged :: Value -> Session ()
sendConfigurationChanged config =
Expand Down

0 comments on commit 928495c

Please sign in to comment.