Skip to content

Commit

Permalink
s/symbiyosys/sby/
Browse files Browse the repository at this point in the history
  • Loading branch information
umarcor committed May 14, 2024
1 parent 765ddb7 commit cb4e6f2
Show file tree
Hide file tree
Showing 12 changed files with 41 additions and 41 deletions.
2 changes: 1 addition & 1 deletion .github/workflows/formal.yml
Original file line number Diff line number Diff line change
Expand Up @@ -84,7 +84,7 @@ jobs:
pull: >-
ghdl/yosys
pkg/z3
pkg/symbiyosys
pkg/sby
pkg/yices2
pkg/boolector
pkg/cvc
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@
#
# SPDX-License-Identifier: Apache-2.0

name: 'symbiyosys'
name: 'sby'

on:
pull_request:
Expand All @@ -29,16 +29,16 @@ on:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ symbiyosys ]
types: [ sby ]

env:
DOCKER_BUILDKIT: 1

jobs:
symbiyosys:
sby:
uses: ./.github/workflows/common.yml
with:
key: symbiyosys
key: sby
skip-release: ${{ github.event_name == 'pull_request' }}
secrets:
gcr_token: '${{ secrets.GCR_JSON_KEY }}'
Expand Down
6 changes: 3 additions & 3 deletions .todo/tasks.py
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@
# "synth:beta",
# "synth:formal",
#
# "synth:symbiyosys",
# "synth:sby",
#
# "build:base",
# "build:build",
Expand All @@ -42,7 +42,7 @@ def task(name, args, dry_run=False):

"formal": ("cache", "formal"),

"symbiyosys": ("synth", "symbiyosys"),
"sby": ("synth", "sby"),

"vunit": map( lambda backend: map( lambda tag: ("vunit", tag), [backend, "%s-master" % backend]
), ["mcode", "llvm", "gcc"]
Expand Down Expand Up @@ -82,7 +82,7 @@ def b_s(tag):
return ("synth", tag, "yosys", {
"target": "yosys"
})
if tag == "symbiyosys":
if tag == "sby":
return ("synth", tag, "synth_formal", {
"args": [
'IMAGE=ghdl/synth:yosys'
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -36,11 +36,11 @@ RUN apt-get update -qq \
&& update-ca-certificates \
&& rm -rf /var/lib/apt/lists/*

RUN mkdir /tmp/symbiyosys && cd /tmp/symbiyosys \
&& curl -fsSL https://codeload.github.com/YosysHQ/SymbiYosys/tar.gz/main | tar xzf - --strip-components=1 \
&& make DESTDIR=/opt/symbiyosys install
RUN mkdir /tmp/sby && cd /tmp/sby \
&& curl -fsSL https://codeload.github.com/YosysHQ/sby/tar.gz/main | tar xzf - --strip-components=1 \
&& make DESTDIR=/opt/sby install

#---

FROM scratch
COPY --from=build /opt/symbiyosys /symbiyosys
COPY --from=build /opt/sby /sby
4 changes: 2 additions & 2 deletions debian-bullseye/formal.dockerfile
Original file line number Diff line number Diff line change
Expand Up @@ -26,12 +26,12 @@ ARG REGISTRY='gcr.io/hdl-containers/debian/bullseye'

# WORKAROUND: this is required because 'COPY --from' does not support ARGs
FROM $REGISTRY/pkg/z3 AS pkg-z3
FROM $REGISTRY/pkg/symbiyosys AS pkg-symbiyosys
FROM $REGISTRY/pkg/sby AS pkg-sby

FROM $REGISTRY/ghdl/yosys AS min

COPY --from=pkg-z3 /z3 /
COPY --from=pkg-symbiyosys /symbiyosys /
COPY --from=pkg-sby /sby /

RUN apt-get update -qq \
&& DEBIAN_FRONTEND=noninteractive apt-get -y install --no-install-recommends \
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -36,11 +36,11 @@ RUN apt-get update -qq \
&& update-ca-certificates \
&& rm -rf /var/lib/apt/lists/*

RUN mkdir /tmp/symbiyosys && cd /tmp/symbiyosys \
&& curl -fsSL https://codeload.github.com/YosysHQ/SymbiYosys/tar.gz/main | tar xzf - --strip-components=1 \
&& make DESTDIR=/opt/symbiyosys install
RUN mkdir /tmp/sby && cd /tmp/sby \
&& curl -fsSL https://codeload.github.com/YosysHQ/sby/tar.gz/main | tar xzf - --strip-components=1 \
&& make DESTDIR=/opt/sby install

#---

FROM scratch
COPY --from=build /opt/symbiyosys /symbiyosys
COPY --from=build /opt/sby /sby
2 changes: 1 addition & 1 deletion doc/conf.py
Original file line number Diff line number Diff line change
Expand Up @@ -193,7 +193,7 @@ def OCIImageShield(image):
"cvc",
"pono",
"superprove",
"symbiyosys",
"sby",
"yices2",
"z3",
],
Expand Down
32 changes: 16 additions & 16 deletions doc/graph/formal.dot
Original file line number Diff line number Diff line change
Expand Up @@ -29,10 +29,10 @@ digraph G {
{ node [shape=note, color=dodgerblue, fontcolor=dodgerblue]
d_boolector [label="boolector"];
d_pono [label="pono"];
d_cvc [label="cvc"];
d_cvc [label="cvc"];
d_formal [label="formal"];
d_superprove [label="superprove"];
d_symbiyosys [label="symbiyosys"];
d_sby [label="sby"];
d_yices2 [label="yices2"];
d_z3 [label="z3"];
}
Expand All @@ -50,7 +50,7 @@ digraph G {
"pkg/cvc"
"pkg/pono"
"pkg/superprove"
"pkg/symbiyosys"
"pkg/sby"
"pkg/yices2"
"pkg/z3"
}
Expand Down Expand Up @@ -132,18 +132,18 @@ digraph G {
"pkg/superprove" -> "t_pkg/superprove";
}

subgraph cluster_symbiyosys {
subgraph cluster_sby {
{ rank=same
node [shape=cylinder, color=grey, fontcolor=grey]
"p_symbiyosys_scratch" [label="scratch"]
"p_symbiyosys_build/base" [label="build/base"]
"p_sby_scratch" [label="scratch"]
"p_sby_build/base" [label="build/base"]
}

d_symbiyosys -> "pkg/symbiyosys" [style=dotted];
d_sby -> "pkg/sby" [style=dotted];

"t_pkg/symbiyosys" [shape=folder, color=red, fontcolor=red, label="symbiyosys.pkg"];
"t_pkg/sby" [shape=folder, color=red, fontcolor=red, label="sby.pkg"];

"pkg/symbiyosys" -> "t_pkg/symbiyosys";
"pkg/sby" -> "t_pkg/sby";
}

subgraph cluster_yices2 {
Expand Down Expand Up @@ -181,7 +181,7 @@ digraph G {
"p_formal_cvc" [label="pkg/cvc"]
"p_formal_ghdl" [label="ghdl/yosys"]
"p_formal_pono" [label="pkg/pono"]
"p_formal_symbiyosys" [label="pkg/symbiyosys"]
"p_formal_sby" [label="pkg/sby"]
"p_formal_superprove" [label="pkg/superprove"]
"p_formal_z3" [label="pkg/z3"]
"p_formal_yices2" [label="pkg/yices2"]
Expand Down Expand Up @@ -210,7 +210,7 @@ digraph G {
d_cvc
d_pono
d_superprove
d_symbiyosys
d_sby
d_yices2
d_z3
}
Expand All @@ -224,7 +224,7 @@ digraph G {
"scratch" -> "p_cvc_scratch" -> d_cvc;

"ghdl/yosys" -> "p_formal_ghdl" -> d_formal;
"pkg/symbiyosys" -> "p_formal_symbiyosys" -> d_formal;
"pkg/sby" -> "p_formal_sby" -> d_formal;
"pkg/boolector" -> "p_formal_boolector" -> d_formal;
"pkg/cvc" -> "p_formal_cvc" -> d_formal;
"pkg/pono" -> "p_formal_pono" -> d_formal;
Expand All @@ -235,8 +235,8 @@ digraph G {
"build/build" -> "p_pono_build/build" -> d_pono;
"scratch" -> "p_pono_scratch" -> d_pono;

"build/base" -> "p_symbiyosys_build/base" -> d_symbiyosys;
"scratch" -> "p_symbiyosys_scratch" -> d_symbiyosys;
"build/base" -> "p_sby_build/base" -> d_sby;
"scratch" -> "p_sby_scratch" -> d_sby;

"build/build" -> "p_superprove_build/build" -> d_superprove;
"scratch" -> "p_superprove_scratch" -> d_superprove;
Expand All @@ -255,14 +255,14 @@ digraph G {
"p_formal_ghdl" -> "formal/min" -> "formal" -> "formal/all";
"p_pono_scratch" -> "pkg/pono";
"p_superprove_scratch" -> "pkg/superprove";
"p_symbiyosys_scratch" -> "pkg/symbiyosys";
"p_sby_scratch" -> "pkg/sby";
"p_yices2_scratch" -> "pkg/yices2";
"p_z3_scratch" -> "pkg/z3";
}

{ edge [style=dashed, color=grey]
{
"p_formal_symbiyosys",
"p_formal_sby",
"p_formal_z3"
} -> "formal/min";

Expand Down
4 changes: 2 additions & 2 deletions doc/graph/graph.dot
Original file line number Diff line number Diff line change
Expand Up @@ -100,7 +100,7 @@ digraph G {
"pkg/prjoxide"
"pkg/prjtrellis"
"pkg/superprove"
"pkg/symbiyosys"
"pkg/sby"
"pkg/yices2"
"pkg/yosys"
"pkg/verible"
Expand Down Expand Up @@ -298,7 +298,7 @@ digraph G {
subgraph cluster_formal {
label = "Formal";
m_formal -> {
"pkg/symbiyosys"
"pkg/sby"
"pkg/boolector"
"pkg/cvc"
"pkg/pono"
Expand Down
4 changes: 2 additions & 2 deletions doc/tools.yml
Original file line number Diff line number Diff line change
Expand Up @@ -316,10 +316,10 @@ superprove:

#---

symbiyosys:
sby:
src: true
pkg:
- 'symbiyosys'
- 'sby'
in:
- 'formal/min'
- 'formal'
Expand Down
File renamed without changes.
4 changes: 2 additions & 2 deletions utils/pyHDLC/config.yml
Original file line number Diff line number Diff line change
Expand Up @@ -73,7 +73,7 @@ defaults:
pkg/cvc: *EmptyTarget
pkg/pono: *EmptyTarget
pkg/superprove: *EmptyTarget
pkg/symbiyosys: *EmptyTarget
pkg/sby: *EmptyTarget
pkg/yices2: *EmptyTarget
pkg/z3: *EmptyTarget
formal/min: { <<: *FormalDockerfile, target: min }
Expand Down Expand Up @@ -178,7 +178,7 @@ jobs:
cvc: *SysDebianAmd64
pono: *SysDebianBullseyeAmd64
superprove: *SysDebianBullseyeAmd64
symbiyosys: *SysDebianAmd64
sby: *SysDebianAmd64
yices2: *SysDebianAmd64
z3: *SysDebianAmd64

Expand Down

0 comments on commit cb4e6f2

Please sign in to comment.