Skip to content

Commit

Permalink
Update RGB CPLD to v9.4
Browse files Browse the repository at this point in the history
  • Loading branch information
IanSB committed Aug 4, 2021
1 parent c3b3078 commit 0eed880
Show file tree
Hide file tree
Showing 10 changed files with 4,200 additions and 4,374 deletions.
Binary file added vhdl_RGB_12bit/6-12_BIT_RGB_CPLD_v94.xsvf
Binary file not shown.
2,368 changes: 1,184 additions & 1,184 deletions vhdl_RGB_12bit/RGBtoHDMI.jed

Large diffs are not rendered by default.

306 changes: 152 additions & 154 deletions vhdl_RGB_12bit/RGBtoHDMI.vhdl

Large diffs are not rendered by default.

1 change: 1 addition & 0 deletions vhdl_RGB_12bit/RGBtoHDMI.xise
Expand Up @@ -137,6 +137,7 @@
<property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Description" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="ProjNav" xil_pn:valueState="default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
Expand Down
55 changes: 0 additions & 55 deletions vhdl_RGB_12bit/_impactbatch.log

This file was deleted.

Binary file modified vhdl_RGB_12bit/auto_project.ipf
Binary file not shown.
Binary file modified vhdl_RGB_12bit/auto_project_1.ipf
Binary file not shown.
4 changes: 2 additions & 2 deletions vhdl_RGB_12bit/iseconfig/RGBtoHDMI.xreport
@@ -1,9 +1,9 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2021-06-27T21:19:53</DateModified>
<DateModified>2021-08-02T02:57:30</DateModified>
<ModuleName>RGBtoHDMI</ModuleName>
<SummaryTimeStamp>2021-06-27T18:39:16</SummaryTimeStamp>
<SummaryTimeStamp>2021-08-01T23:55:05</SummaryTimeStamp>
<SavedFilePath>C:/Github/RGBtoHDMI/vhdl_RGB_12bit/iseconfig/RGBtoHDMI.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Github/RGBtoHDMI/vhdl_RGB_12bit/working\</ImplementationReportsDirectory>
<DateInitialized>2019-12-05T17:57:48</DateInitialized>
Expand Down
5,838 changes: 2,860 additions & 2,978 deletions vhdl_RGB_12bit/working/RGBtoHDMI.vm6

Large diffs are not rendered by default.

2 changes: 1 addition & 1 deletion vhdl_RGB_12bit/working/RGBtoHDMI_summary.html
Expand Up @@ -72,5 +72,5 @@
</TABLE>


<br><center><b>Date Generated:</b> 06/27/2021 - 21:19:53</center>
<br><center><b>Date Generated:</b> 08/02/2021 - 02:57:30</center>
</BODY></HTML>

0 comments on commit 0eed880

Please sign in to comment.