Skip to content

Commit

Permalink
Merge ac9e627 into 71dea7f
Browse files Browse the repository at this point in the history
  • Loading branch information
hongbo-miao committed May 14, 2024
2 parents 71dea7f + ac9e627 commit 4236608
Show file tree
Hide file tree
Showing 24 changed files with 76 additions and 1 deletion.
3 changes: 3 additions & 0 deletions .dockerignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
3 changes: 3 additions & 0 deletions .gitignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
3 changes: 3 additions & 0 deletions .markdownlint-cli2.jsonc
Original file line number Diff line number Diff line change
Expand Up @@ -16,6 +16,8 @@
"**/*.ghw",
"**/*.iml",
"**/*.lvlps",
"**/*.mexmaca64",
"**/*.mexmaci64",
"**/*.slxc",
"**/*.tfstate",
"**/.DS_Store",
Expand All @@ -30,6 +32,7 @@
"**/.venv",
"**/.vscode",
"**/__pycache__",
"**/codegen",
"**/node_modules",
"**/slprj",

Expand Down
3 changes: 3 additions & 0 deletions .prettierignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
3 changes: 3 additions & 0 deletions .rubocop.yml
Original file line number Diff line number Diff line change
Expand Up @@ -11,6 +11,8 @@ AllCops:
- '**/*.ghw'
- '**/*.iml'
- '**/*.lvlps'
- '**/*.mexmaca64'
- '**/*.mexmaci64'
- '**/*.slxc'
- '**/*.tfstate'
- '**/.DS_Store'
Expand All @@ -25,6 +27,7 @@ AllCops:
- '**/.venv/**/*'
- '**/.vscode/**/*'
- '**/__pycache__/**/*'
- '**/codegen/**/*'
- '**/node_modules/**/*'
- '**/slprj/**/*'

Expand Down
3 changes: 3 additions & 0 deletions .ruff.toml
Original file line number Diff line number Diff line change
Expand Up @@ -9,6 +9,8 @@ exclude = [
"**/*.ghw",
"**/*.iml",
"**/*.lvlps",
"**/*.mexmaca64",
"**/*.mexmaci64",
"**/*.slxc",
"**/*.tfstate",
"**/.DS_Store",
Expand All @@ -23,6 +25,7 @@ exclude = [
"**/.venv",
"**/.vscode",
"**/__pycache__",
"**/codegen",
"**/node_modules",
"**/slprj",

Expand Down
3 changes: 3 additions & 0 deletions .solhintignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
3 changes: 3 additions & 0 deletions .sqlfluffignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
3 changes: 3 additions & 0 deletions .stylelintignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
3 changes: 3 additions & 0 deletions .yamllint.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -12,6 +12,8 @@ ignore: |
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -26,6 +28,7 @@ ignore: |
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@
if nargin < 3
r = 5;
end
T = 12; % finishing time 10
T = 12; % finishing time 10

if t >= T
% hover controller input
Expand Down
3 changes: 3 additions & 0 deletions api-node/.eslintignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
3 changes: 3 additions & 0 deletions data-orchestration/hm-prefect/workflows/greet/.prefectignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
3 changes: 3 additions & 0 deletions ethereum/.eslintignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
6 changes: 6 additions & 0 deletions matlab/call-c-function-in-matlab/add.c
Original file line number Diff line number Diff line change
@@ -0,0 +1,6 @@
#include "add.h"

#include <stdio.h>
#include <stdlib.h>

double add(double n1, double n2) { return n1 + n2; }
1 change: 1 addition & 0 deletions matlab/call-c-function-in-matlab/add.h
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
double add(double n1, double n2);
4 changes: 4 additions & 0 deletions matlab/call-c-function-in-matlab/main.m
Original file line number Diff line number Diff line change
@@ -0,0 +1,4 @@
% https://www.mathworks.com/help/coder/ug/call-cc-code-from-matlab-code.html

codegen mathOps -args {1, 2} add.c;
[added, multed] = mathOps_mex(10, 20);
7 changes: 7 additions & 0 deletions matlab/call-c-function-in-matlab/mathOps.m
Original file line number Diff line number Diff line change
@@ -0,0 +1,7 @@
function [added, multed] = mathOps(n1, n2)
added = 0;
coder.cinclude('add.h');
added = coder.ceval('add', n1, n2);

multed = n1 * n2;
end
3 changes: 3 additions & 0 deletions mobile/mobile-react-native/.eslintignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
3 changes: 3 additions & 0 deletions web-cypress/.eslintignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down
3 changes: 3 additions & 0 deletions web/.eslintignore
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,8 @@
**/*.ghw
**/*.iml
**/*.lvlps
**/*.mexmaca64
**/*.mexmaci64
**/*.slxc
**/*.tfstate
**/.DS_Store
Expand All @@ -21,6 +23,7 @@
**/.venv
**/.vscode
**/__pycache__
**/codegen
**/node_modules
**/slprj

Expand Down

0 comments on commit 4236608

Please sign in to comment.