Skip to content

Commit

Permalink
[PR] dylanaraps#2413 from Xray-OS - ASCII Artwork for Xray_OS (Arch L…
Browse files Browse the repository at this point in the history
…inux)

Upstream PR: dylanaraps#2413
Thanks to @Xray-OS

Co-authored-by: xray_os <xray_os@proton.me>
  • Loading branch information
hykilpikonna and Xray-OS committed Nov 22, 2023
2 parents e63e269 + 5e109af commit f66b128
Showing 1 changed file with 27 additions and 0 deletions.
27 changes: 27 additions & 0 deletions neofetch
Original file line number Diff line number Diff line change
Expand Up @@ -14862,6 +14862,33 @@ ${c3} `${c4} :EEEEtttt::::z7
EOF
;;

"Xray_OS"*)
set_colors 15 14 16 24
read -rd '' ascii_data <<'EOF'
${c1} ${c1}rrrrrraaaaaaaaaaaayyyy
${c1}xxrrrrrrrraaaaaaaaaaaayyyyyyyyy
${c1}xxxxxrrrrrrrraaaaaaaaaaaayyyyyyy${c3}yyyyy${c2}yyyyyyyyyy
${c1}xxxxxxxrrrrrrrraaaaa ${c2}aaaaayyyyyyyyyyyyyyyyyyy
${c1}xxxxxx${c3}xxx${c1}rrrrrrrraaaa ${c2}aaaaaaayyyyyyyyyyyyyyyyy
${c1}xxxxxx${c3}xxxxxr${c1}rrrrrrraa ${c2}aaaaaaaaay${c3}yyyyyyyyy${c2}yyyy ${c1}yy
${c1}xxxxxxx${c3}xxx${c1}xxrrrrrrrra ${c2}aaaaaaaaa${c3}ayyyyyyyyyyyy${c1}yyyyyy
${c1}xxxxxxxxxxxxrrrrrrrr ${c2}aaaaaaaaaaa${c3}yyyyyyyyyyyy${c1}yyyyyyy
${c1}xxxxxxxxxxxxxrrrrrr ${c2}raaaaaaaaaaaayyy${c3}yyyyyyyy${c1}yyyyyy${c1}yyy
${c1}xxxxxxxxxxxxxrrrrr ${c2}rraaaaaaaaaaaayyyyy${c3}yy${c2}yyyyyy ${c1}yyyyyy
${c1}xxxxxxxx${c3}xxxx${c1}xrrrrr${c2}rr${c3}raaaaaaa${c2}aaaaayyyyyyyyyy ${c1}yyyyyyyyy
${c1}xxxxxxx${c3}xxxx${c1}xxrrrrrrr${c3}raaaaaa${c2}aaaaaayyyyyyy ${c1}yyyyyyyyyyyy
${c1}xxxxxxx${c3}xxx${c1}xxxrrrrrrrr${c3}aaaaaa${c2}aaaaaayyyy ${c1}yyyyyyyyyyyyyy
${c1}xxxxxxxxxxxxrrrrrrrra ${c2}aaaaaaaaaay ${c1}yyyyyyyyyyyyyyyy
${c1}xxxxxxxxxxxrrrrrrr ${c2}aaaaaaaaaaaayyyy${c1}yyyyyyyyyyyyy
${c1}xxxxxxx${c3}xxxrr${c1}rrrr ${c2}raaaaaaaaaaaa ${c1}yyyyyyyyyyyyyyy
${c1}xxxxxxxxrrrr ${c2}rrraaaaaaaaa ${c1}aayyyyyyyyyyyyyy
${c1}xxxxxxrrrrrrr ${c2}aaaaaa ${c1}aaaayyyyyyyyyyyy
${c1}xxxrrrrrr ${c2}raaa ${c1}aaaaaaayyyyyyyyy
${c1}rrrr ${c2}rr ${c1}aaaaaaaaaayyyyyy
${c2}r ${c1}aaaaaaaaaa
EOF
;;

"Xubuntu"*)
set_colors 4 7 1
read -rd '' ascii_data <<'EOF'
Expand Down

0 comments on commit f66b128

Please sign in to comment.