Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add test coverage for ipbus ram slaves #81

Closed
alessandrothea opened this issue Dec 5, 2018 · 1 comment
Closed

Add test coverage for ipbus ram slaves #81

alessandrothea opened this issue Dec 5, 2018 · 1 comment
Assignees
Milestone

Comments

@alessandrothea
Copy link
Collaborator

The existing automatic tests don't provide appropriate coverage of the ipbus ram slave code.
Dedicated tests have to be added, covering

  • VHDL Syntax
  • Interface with ipbus
  • interface with the payload clock logic

The latter will require adding a dedicated pattern generator.

alessandrothea added a commit that referenced this issue Dec 5, 2018
alessandrothea added a commit that referenced this issue Dec 5, 2018
alessandrothea added a commit that referenced this issue Dec 5, 2018
Vivado couldn't infer the ram correctly. Made the signal layout closer to
ported_dram36 (which worked) and made Vivado happy again.
enclustra top-level updated.
alessandrothea added a commit that referenced this issue Dec 5, 2018
alessandrothea added a commit that referenced this issue Dec 5, 2018
alessandrothea added a commit that referenced this issue Dec 5, 2018
alessandrothea added a commit that referenced this issue Dec 5, 2018
Vivado -> 2018.2 and 2017.4
Modelsim -> 10.6c
alessandrothea added a commit that referenced this issue Dec 5, 2018
alessandrothea added a commit that referenced this issue Dec 6, 2018
alessandrothea added a commit that referenced this issue Dec 6, 2018
Vivado couldn't infer the ram correctly. Made the signal layout closer to
ported_dram36 (which worked) and made Vivado happy again.
enclustra top-level updated.
alessandrothea added a commit that referenced this issue Dec 6, 2018
alessandrothea added a commit that referenced this issue Dec 6, 2018
alessandrothea added a commit that referenced this issue Dec 6, 2018
alessandrothea added a commit that referenced this issue Dec 6, 2018
Vivado -> 2018.2 and 2017.4
Modelsim -> 10.6c
alessandrothea added a commit that referenced this issue Dec 6, 2018
alessandrothea added a commit that referenced this issue Dec 6, 2018
@tswilliams tswilliams added this to the Release 1.3 milestone Dec 6, 2018
@alessandrothea
Copy link
Collaborator Author

alessandrothea commented Dec 6, 2018

Note: Both dpram36 and spdpram72 were found to be inferred as distributed RAMs while expected to be implemented as block rams. The code has been slightly re-arranged according to the Xilinx prescriptions. They are both inferred as block RAMs, now.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

2 participants