Skip to content
This repository has been archived by the owner on Jan 2, 2024. It is now read-only.

jackodirks/VHDL_experimenting

Repository files navigation

This project is basically a huge VHDL experiment. This project is focused on only testbenching.

The project uses GHDL:
https://github.com/tgingold/ghdl
And gtkwave, but that should be in your repo's.        

Sources of information:
Usage of GHDL:
http://www.armadeus.com/wiki/index.php?title=How_to_make_a_VHDL_design_in_Ubuntu/Debian#Using_Xilinx_command_line_tools_for_shell_syntesis
http://ghdl.readthedocs.org/en/latest/index.html

Running happens using the Makefile in the project directory. Type `make` to make GHDL scan and process all VHDL files specified, type `make run` to run the testbench (implies `make`) and type `make simulate` to start gtkwave with the generated output file (implies `make run`).

About

No description, website, or topics provided.

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages