Skip to content
This repository has been archived by the owner on Aug 23, 2021. It is now read-only.

Commit

Permalink
Merge sintable.hex long version
Browse files Browse the repository at this point in the history
  • Loading branch information
riyuki15 committed Apr 2, 2021
2 parents 771bac9 + 3f9138f commit 4901aab
Show file tree
Hide file tree
Showing 9 changed files with 131,119 additions and 760 deletions.
Binary file removed src/.DS_Store
Binary file not shown.
10 changes: 5 additions & 5 deletions src/library.sv
Expand Up @@ -35,22 +35,22 @@ endmodule: MagComp
module Mux4to1
#( parameter WIDTH = 24 )
( input wire logic [1:0] sel,
input wire logic [(WIDTH-1):0] sin_out, sqr_out, saw_out, tri_out,
input wire logic [(WIDTH-1):0] out1, out2, out3, out4,
output logic [(WIDTH-1):0] out );

always_comb begin
case (sel)
2'b00: begin
out = sin_out;
out = out1;
end
2'b01: begin
out = sqr_out;
out = out2;
end
2'b10: begin
out = saw_out;
out = out3;
end
2'b11: begin
out = tri_out;
out = out4;
end
endcase
end
Expand Down

0 comments on commit 4901aab

Please sign in to comment.