Skip to content

Commit

Permalink
removed counter config from demos
Browse files Browse the repository at this point in the history
  • Loading branch information
jjjt-hub committed Jan 31, 2024
1 parent 248ad24 commit 20f638e
Show file tree
Hide file tree
Showing 7 changed files with 8 additions and 41 deletions.
6 changes: 1 addition & 5 deletions src/main/scala/vexriscv/demo/Briey.scala
Original file line number Diff line number Diff line change
Expand Up @@ -146,12 +146,8 @@ object BrieyConfig{
mscratchGen = false,
mcauseAccess = CsrAccess.READ_ONLY,
mbadaddrAccess = CsrAccess.READ_ONLY,
mcycleAccess = CsrAccess.NONE,
minstretAccess = CsrAccess.NONE,
ecallGen = false,
wfiGenAsWait = false,
ucycleAccess = CsrAccess.NONE,
uinstretAccess = CsrAccess.NONE
wfiGenAsWait = false
)
),
new YamlPlugin("cpu0.yaml")
Expand Down
6 changes: 1 addition & 5 deletions src/main/scala/vexriscv/demo/VexRiscvAhbLite3.scala
Original file line number Diff line number Diff line change
Expand Up @@ -122,12 +122,8 @@ object VexRiscvAhbLite3{
mscratchGen = false,
mcauseAccess = CsrAccess.READ_ONLY,
mbadaddrAccess = CsrAccess.READ_ONLY,
mcycleAccess = CsrAccess.NONE,
minstretAccess = CsrAccess.NONE,
ecallGen = false,
wfiGenAsWait = false,
ucycleAccess = CsrAccess.NONE,
uinstretAccess = CsrAccess.NONE
wfiGenAsWait = false
)
),
new YamlPlugin("cpu0.yaml")
Expand Down
6 changes: 1 addition & 5 deletions src/main/scala/vexriscv/demo/VexRiscvAvalonForSim.scala
Original file line number Diff line number Diff line change
Expand Up @@ -120,12 +120,8 @@ object VexRiscvAvalonForSim{
mscratchGen = false,
mcauseAccess = CsrAccess.READ_ONLY,
mbadaddrAccess = CsrAccess.READ_ONLY,
mcycleAccess = CsrAccess.NONE,
minstretAccess = CsrAccess.NONE,
ecallGen = false,
wfiGenAsWait = false,
ucycleAccess = CsrAccess.NONE,
uinstretAccess = CsrAccess.NONE
wfiGenAsWait = false
)
),
new YamlPlugin("cpu0.yaml")
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -117,12 +117,8 @@ object VexRiscvAvalonWithIntegratedJtag{
mscratchGen = false,
mcauseAccess = CsrAccess.READ_ONLY,
mbadaddrAccess = CsrAccess.READ_ONLY,
mcycleAccess = CsrAccess.NONE,
minstretAccess = CsrAccess.NONE,
ecallGen = false,
wfiGenAsWait = false,
ucycleAccess = CsrAccess.NONE,
uinstretAccess = CsrAccess.NONE
wfiGenAsWait = false
)
),
new YamlPlugin("cpu0.yaml")
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -118,12 +118,8 @@ object VexRiscvAxi4WithIntegratedJtag{
mscratchGen = false,
mcauseAccess = CsrAccess.READ_ONLY,
mbadaddrAccess = CsrAccess.READ_ONLY,
mcycleAccess = CsrAccess.NONE,
minstretAccess = CsrAccess.NONE,
ecallGen = false,
wfiGenAsWait = false,
ucycleAccess = CsrAccess.NONE,
uinstretAccess = CsrAccess.NONE
wfiGenAsWait = false
)
),
new YamlPlugin("cpu0.yaml")
Expand Down
9 changes: 1 addition & 8 deletions src/main/scala/vexriscv/demo/smp/VexRiscvSmpCluster.scala
Original file line number Diff line number Diff line change
Expand Up @@ -208,11 +208,7 @@ object VexRiscvSmpClusterGen {
if(csrFull){
c = c.copy(
mcauseAccess = CsrAccess.READ_WRITE,
mbadaddrAccess = CsrAccess.READ_WRITE,
ucycleAccess = CsrAccess.READ_ONLY,
uinstretAccess = CsrAccess.READ_ONLY,
mcycleAccess = CsrAccess.READ_WRITE,
minstretAccess = CsrAccess.READ_WRITE
mbadaddrAccess = CsrAccess.READ_WRITE
)
}
c
Expand All @@ -232,13 +228,10 @@ object VexRiscvSmpClusterGen {
mscratchGen = forceMscratch,
mcauseAccess = CsrAccess.READ_ONLY,
mbadaddrAccess = CsrAccess.READ_ONLY,
mcycleAccess = CsrAccess.NONE,
minstretAccess = CsrAccess.NONE,
ecallGen = true,
ebreakGen = true,
wfiGenAsWait = false,
wfiGenAsNop = true,
ucycleAccess = CsrAccess.NONE,
withPrivilegedDebug = privilegedDebug
)
}
Expand Down
10 changes: 2 additions & 8 deletions src/test/scala/vexriscv/experimental/GenMicro.scala
Original file line number Diff line number Diff line change
Expand Up @@ -93,13 +93,10 @@ object GenMicro extends App{
mscratchGen = false,
mcauseAccess = CsrAccess.READ_ONLY,
mbadaddrAccess = CsrAccess.NONE,
mcycleAccess = CsrAccess.NONE,
minstretAccess = CsrAccess.NONE,
ecallGen = false,
ebreakGen = false,
wfiGenAsWait = false,
wfiGenAsNop = false,
ucycleAccess = CsrAccess.NONE,
noCsrAlu = true
) else CsrPluginConfig(
catchIllegalAccess = false,
Expand All @@ -115,13 +112,10 @@ object GenMicro extends App{
mscratchGen = true,
mcauseAccess = CsrAccess.READ_ONLY,
mbadaddrAccess = CsrAccess.READ_ONLY,
mcycleAccess = CsrAccess.NONE,
minstretAccess = CsrAccess.NONE,
ecallGen = true,
ebreakGen = true,
wfiGenAsWait = false,
wfiGenAsNop = true,
ucycleAccess = CsrAccess.NONE
wfiGenAsNop = true
)
)))
)
Expand Down Expand Up @@ -159,4 +153,4 @@ object GenMicroSynthesis {

Bench(rtls, targets, "/eda/tmp/")
}
}
}

0 comments on commit 20f638e

Please sign in to comment.