Skip to content

Commit

Permalink
add xiaohongshu as koordinator adopter (#424)
Browse files Browse the repository at this point in the history
Signed-off-by: Cheimu <xerhoneyfc@gmail.com>
  • Loading branch information
cheimu committed Aug 1, 2022
1 parent 49fa42c commit 1cf37d0
Showing 1 changed file with 3 additions and 2 deletions.
5 changes: 3 additions & 2 deletions ADOPTERS.md
Original file line number Diff line number Diff line change
Expand Up @@ -5,8 +5,9 @@ free to get in touch.

## Adopters list

| Organization | Contact | Description of Use |
| ------------ |---------| ------------------ |
| Organization | Contact | Description of Use |
|--------------|-----------------------------------------------------------------------------|-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------|
| XiaoHongShu | TECHINFCONTAINER_Intern@xiaohongshu.com | Heavily use Koordinator as base building block and inspiration in production for online/offline colocation <br/> including qos-ensurance and fine-grained CPU/GPU scheduling. |

## Process

Expand Down

0 comments on commit 1cf37d0

Please sign in to comment.