Skip to content
/ blink Public
forked from ulx3s/blink

Repository containing ULX3S blink LED binaries

License

Notifications You must be signed in to change notification settings

kost/blink

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

14 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Blink ULX3S LED

Connecting ULX3S

You need to connect to USB1 (US1) connector to the host computer in order to program the board.

Download programmer

Download fujprog for your OS latest version of fujprog.

Extract fujprog to "any-folder" on your drive

MacOS: export PATH=[path-to-fujprog]:$PATH
Linux: export PATH=[path-to-fujprog]:$PATH
Windows Powershell: $ENV:PATH = "[path-to-fujprog];" + $ENV:PATH
Windows cmd.exe: PATH=[path-to-fujprog];%PATH%

You may want to rename fujprog name, for example on linux just rename fujprog-v46-linux-x64 to fujprog

cd path-to-fujprog
mv fujprog-v46-linux-x64 fujprog

Uploading

Upload blik led for your board version

git clone https://github.com/ulx3s/blink.git
cd blink
fujprog blink_85f.bit

On linux you may need to add udev rule

https://github.com/emard/ulx3s/blob/master/doc/MANUAL.md#programming-over-usb-port-us1

Build your own blink LED

This blinky is based on the ULX3S-Blinky project from @Doctorwkt. This example blinks an LED using FPGA code.

It is assumed the yosys, nextpnr toolchain has been already installed. If not, see instructions here

Default size in Makefile is 85F - you can change it here:

https://github.com/ulx3s/blink/blob/main/Makefile#L69

make clean
make ulx3s.bit
make prog

Next steps

Now, you're ready for the next steps, we suggest following:

Or explore different projects available at: https://ulx3s.github.io/

Chat and support

Discord chanel

Gitter chanel

About

Repository containing ULX3S blink LED binaries

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages

  • Makefile 72.9%
  • Verilog 27.1%