Skip to content

Commit

Permalink
Redirect all output of warn() and error() to stderr.
Browse files Browse the repository at this point in the history
  • Loading branch information
CasperVector committed Aug 24, 2012
1 parent 8dd6f4f commit e14c9fd
Show file tree
Hide file tree
Showing 2 changed files with 3 additions and 3 deletions.
4 changes: 2 additions & 2 deletions src/cdm
Expand Up @@ -36,8 +36,8 @@ trap '' SIGINT SIGTSTP

# Helper functions.

warn() { printf ' \033[01;33m*\033[00m '; echo "$name: $*" > /dev/stderr; }
error() { printf ' \033[01;31m*\033[00m '; echo "$name: $*" > /dev/stderr; }
warn() { (printf ' \033[01;33m*\033[00m '; echo "$name: $*") > /dev/stderr; }
error() { (printf ' \033[01;31m*\033[00m '; echo "$name: $*") > /dev/stderr; }
exitnormal() { exit 0; }
exiterror() { sleep 1; exit 1; }
yesno()
Expand Down
2 changes: 1 addition & 1 deletion src/cdm-xlaunch
Expand Up @@ -33,7 +33,7 @@ consolekit=false
cktimeout=30

info() { printf ' \033[01;32m*\033[00m '; echo "$name: $*"; }
error() { printf ' \033[01;31m*\033[00m '; echo "$name: $*" > /dev/stderr; }
error() { (printf ' \033[01;31m*\033[00m '; echo "$name: $*") > /dev/stderr; }

args=$(getopt -n "$name" -o ct: -l consolekit,timeout: -- "$@") || exit 1
eval set -- "$args"
Expand Down

0 comments on commit e14c9fd

Please sign in to comment.