Skip to content

Commit

Permalink
remove all $Id keywords
Browse files Browse the repository at this point in the history
git-svn-id: http://caml.inria.fr/svn/ocaml/trunk@13013 f963ae5c-01c2-4b8c-9fe0-0dff7051ff02
  • Loading branch information
doligez committed Oct 15, 2012
1 parent a8cb387 commit 040496e
Show file tree
Hide file tree
Showing 1,050 changed files with 10 additions and 2,112 deletions.
2 changes: 0 additions & 2 deletions Makefile
Expand Up @@ -10,8 +10,6 @@
# #
#########################################################################

# $Id$

# The main Makefile

include config/Makefile
Expand Down
2 changes: 0 additions & 2 deletions Makefile.nt
Expand Up @@ -10,8 +10,6 @@
# #
#########################################################################

# $Id$

# The main Makefile

include config/Makefile
Expand Down
4 changes: 0 additions & 4 deletions README
Expand Up @@ -129,7 +129,3 @@ To be effective, bug reports should include a complete program
configuration you are using (machine type, etc).

You can also contact the implementors directly at caml@inria.fr.


----
$Id$
2 changes: 0 additions & 2 deletions asmcomp/amd64/arch.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Machine-specific command-line options *)

let pic_code = ref true
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/amd64/emit.mlp
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Emission of x86-64 (AMD 64) assembly code *)

open Cmm
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/amd64/emit_nt.mlp
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Emission of x86-64 (AMD 64) assembly code, MASM syntax *)

module StringSet =
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/amd64/proc.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Description of the AMD64 processor *)

open Misc
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/amd64/reload.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

open Cmm
open Arch
open Reg
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/amd64/scheduling.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

let _ = let module M = Schedgen in () (* to create a dependency *)

(* Scheduling is turned off because the processor schedules dynamically
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/amd64/selection.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Instruction selection for the AMD64 *)

open Arch
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/arm/arch.ml
Expand Up @@ -11,8 +11,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Specific operations for the ARM processor *)

open Format
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/arm/emit.mlp
Expand Up @@ -11,8 +11,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Emission of ARM assembly code *)

open Misc
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/arm/proc.ml
Expand Up @@ -11,8 +11,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Description of the ARM processor *)

open Misc
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/arm/reload.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Reloading for the ARM *)

let fundecl f =
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/arm/scheduling.ml
Expand Up @@ -11,8 +11,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

open Arch
open Mach

Expand Down
2 changes: 0 additions & 2 deletions asmcomp/arm/selection.ml
Expand Up @@ -11,8 +11,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Instruction selection for the ARM processor *)

open Arch
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/asmgen.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* From lambda to assembly code *)

open Format
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/asmgen.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* From lambda to assembly code *)

val compile_implementation :
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/asmlibrarian.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Build libraries of .cmx files *)

open Misc
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/asmlibrarian.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Build libraries of .cmx files *)

open Format
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/asmlink.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Link a set of .cmx/.o files and produce an executable *)

open Misc
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/asmlink.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Link a set of .cmx/.o files and produce an executable or a plugin *)

open Format
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/asmpackager.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* "Package" a set of .cmx/.o files into one .cmx/.o file having the
original compilation units as sub-modules. *)

Expand Down
2 changes: 0 additions & 2 deletions asmcomp/asmpackager.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* "Package" a set of .cmx/.o files into one .cmx/.o file having the
original compilation units as sub-modules. *)

Expand Down
2 changes: 0 additions & 2 deletions asmcomp/clambda.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* A variant of the "lambda" code with direct / indirect calls explicit
and closures explicit too *)

Expand Down
2 changes: 0 additions & 2 deletions asmcomp/clambda.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* A variant of the "lambda" code with direct / indirect calls explicit
and closures explicit too *)

Expand Down
2 changes: 0 additions & 2 deletions asmcomp/closure.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Introduction of closures, uncurrying, recognition of direct calls *)

open Misc
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/closure.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Introduction of closures, uncurrying, recognition of direct calls *)

val intro: int -> Lambda.lambda -> Clambda.ulambda
2 changes: 0 additions & 2 deletions asmcomp/cmm.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

type machtype_component =
Addr
| Int
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/cmm.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Second intermediate language (machine independent) *)

type machtype_component =
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/cmmgen.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Translation from closed lambda to C-- *)

open Misc
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/cmmgen.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Translation from closed lambda to C-- *)

val compunit: int -> Clambda.ulambda -> Cmm.phrase list
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/cmx_format.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Format of .cmx, .cmxa and .cmxs files *)

(* Each .o file has a matching .cmx file that provides the following infos
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/codegen.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* From C-- to assembly code *)

open Format
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/codegen.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* From C-- to assembly code *)

val phrase: Cmm.phrase -> unit
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/coloring.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Register allocation by coloring of the interference graph *)

open Reg
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/coloring.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Register allocation by coloring of the interference graph *)

val allocate_registers: unit -> unit
2 changes: 0 additions & 2 deletions asmcomp/comballoc.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Combine heap allocations occurring in the same basic block *)

open Mach
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/comballoc.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Combine heap allocations occurring in the same basic block *)

val fundecl: Mach.fundecl -> Mach.fundecl
2 changes: 0 additions & 2 deletions asmcomp/compilenv.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Compilation environments for compilation units *)

open Config
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/compilenv.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Compilation environments for compilation units *)

open Cmx_format
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/emit.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Generation of assembly code *)

val fundecl: Linearize.fundecl -> unit
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/emitaux.ml
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Common functions for emitting assembly code *)

open Debuginfo
Expand Down
2 changes: 0 additions & 2 deletions asmcomp/emitaux.mli
Expand Up @@ -10,8 +10,6 @@
(* *)
(***********************************************************************)

(* $Id$ *)

(* Common functions for emitting assembly code *)

val output_channel: out_channel ref
Expand Down

0 comments on commit 040496e

Please sign in to comment.