Skip to content
View milannedic's full-sized avatar
🎯
Focusing
🎯
Focusing

Highlights

  • Pro
Block or Report

Block or report milannedic

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse

Pinned Loading

  1. GHDL + GTKWave setup GHDL + GTKWave setup
    1
    1# Install ghdl tool using this command:
    2
      sudo dpkg --install ghdl_0.33-1jessie1_amd64.deb
    3
      
    4
      First you need to find it and download it from here (there are other versions as well): 
    5
      https://sourceforge.net/projects/ghdl-updates/files/Builds/ghdl-0.33/debian/
  2. Automation of VHDL compile/simulate ... Automation of VHDL compile/simulate process using GHDL and GTKWave. This script simulates testbench file, dumps .ghw file and runs GTKWave with it.
    1
    
                  
    2
    # call this like:
    3
    # ./sim.sh psds_v2 2_1 top_level_tb 1000ns wave.gtkw
    4
    
                  
    5
    # params: